The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase gate-level (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1973-1987 (15) 1988-1989 (16) 1990 (22) 1991-1992 (24) 1993 (15) 1994 (23) 1995 (38) 1996 (38) 1997 (31) 1998 (45) 1999 (39) 2000 (51) 2001 (32) 2002 (46) 2003 (40) 2004 (43) 2005 (60) 2006 (59) 2007 (79) 2008 (56) 2009 (38) 2010 (19) 2011 (18) 2012-2013 (24) 2014 (22) 2015 (19) 2016 (20) 2017 (20) 2018-2019 (30) 2020 (25) 2021-2022 (39) 2023 (16) 2024 (4)
Publication types (Num. hits)
article(309) data(1) incollection(3) inproceedings(749) phdthesis(4)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 838 occurrences of 477 keywords

Results
Found 1066 publication records. Showing 1066 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
6Paul Zuber, Armin Windschiegl, Raúl Medina Beltrán de Otálora, Walter Stechele, Andreas Herkersdorf Reduction of CMOS Power Consumption and Signal Integrity Issues by Routing Optimization. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
6Tomohiro Yoneda, Atsushi Matsumoto, Manabu Kato, Chris J. Myers High Level Synthesis of Timed Asynchronous Circuits. Search on Bibsonomy ASYNC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
6Ho Fai Ko, Qiang Xu 0001, Nicola Nicolici Register-transfer level functional scan for hierarchical designs. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
6Yen-Fong Lee, Shi-Yu Huang, Sheng-Yu Hsu, I-Ling Chen, Cheng-Tao Shieh, Jian-Cheng Lin, Shih-Chieh Chang Power estimation starategies for a low-power security processor. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
6Girish Venkataramani, Tiberiu Chelcea, Seth Copen Goldstein, Tobias Bjerregaard SOMA: a tool for synthesizing and optimizing memory accesses in ASICs. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF high-level synthesis, memory synthesis
6Bernhard Peischl, Franz Wotawa Error traces in model-based debugging of hardware description languages. Search on Bibsonomy AADEBUG The full citation details ... 2005 DBLP  DOI  BibTeX  RDF conditional dependency, error trace, potential influence, fault localization, automated debugging, software debugging, source-level debugging
6Behnam Amelifard, Ali Afzali-Kusha, Ahmad Khademzadeh Enhancing the efficiency of cluster voltage scaling technique for low-power application. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
6Marong Phadoongsidhi, Kewal K. Saluja SCINDY: Logic Crosstalk Delay Fault Simulation in Sequential Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
6Pallav Gupta, Niraj K. Jha An Algorithm for Nano-Pipelining of Circuits and Architectures for a Nanotechnology. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
6Markus Wedler, Dominik Stoffel, Wolfgang Kunz Exploiting state encoding for invariant generation in induction-based property checking. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
6Ozgur Sinanoglu, Alex Orailoglu Efficient RT-level fault diagnosis methodology. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
6Laurence Tianruo Yang, Jon C. Muzio Testing Methodologies for Embedded Systems and Systems-on-Chip. Search on Bibsonomy ICESS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
6Tetsuya Higchi Industrial Applications of Evolvable Hardware. Search on Bibsonomy KES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
6Ho Fai Ko, Nicola Nicolici Functional Illinois Scan Design at RTL. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
6Yu-Shen Yang, Jiang Brandon Liu, Paul J. Thadikaran, Andreas G. Veneris Extraction Error Analysis, Diagnosis and Correction in Custom-Made High-Performance Designs. Search on Bibsonomy MTV The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
6Markus Wedler, Dominik Stoffel, Wolfgang Kunz Using RTL Statespace Information and State Encoding for Induction Based Property Checking. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
6David Andrews 0001, Douglas Niehaus Architectural Frameworks for MPP Systems on a Chip. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF thread programming, FPGA, embedded systems, reconfigurable architectures, computational frameworks
6Yu-Shen Yang, Jiang Brandon Liu, Paul J. Thadikaran, Andreas G. Veneris Extraction Error Diagnosis and Correction in High-Performance Designs. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
6Sergio Saponara, Luca Fanucci, Luca Serafini Low-Power FFT/IFFT VLSI Macro Cell for Scalable Broadband VDSL Modem. Search on Bibsonomy IWSOC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
6G. D. Nagendra, V. G. Prem Kumar, B. S. Sheshadri Chakravarthy Simulation bridge: a framework for multi-processor simulation. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF simulation framework, instruction set simulator, multiprocessor simulation
6Maurizio Rebaudengo, Matteo Sonza Reorda, Massimo Violante A New Functional Fault Model for FPGA Application-Oriented Testing. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
6Kenji Shimazaki, Shouzou Hirano, Hiroyuki Tsujikawa An EMI-Noise Analysis on LSI Design with Impedance Estimation. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
6Ajay J. Daga, Loa Mize, Subramanyam Sripada, Chris Wolff, Qiuyang Wu Automated timing model generation. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF EDA, static timing analysis, model generation
6Subodh Gupta, Farid N. Najm Power modeling for high-level power estimation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
6Wen-Chang Yeh, Chein-Wei Jen High-Speed Booth Encoded Parallel Multiplier Design. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Final adder, multiple-level conditional-sum adder and parallel multiplier, Booth encoding
6Indradeep Ghosh, Niraj K. Jha, Sudipta Bhawmik A BIST scheme for RTL circuits based on symbolic testabilityanalysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
6Subodh Gupta, Farid N. Najm Analytical models for RTL power estimation of combinational andsequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
6Surendra Bommu, Niall O'Neill, Maciej J. Ciesielski Retiming-based factorization for sequential logic optimization. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF finite stat machines, retiming, sequential synthesis
6Carl-Johan H. Seger Connecting Bits with Floating-Point Numbers: Model Checking and Theorem Proving in Practice. Search on Bibsonomy CADE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
6Roberto Zafalon, Massimo Rossello, Enrico Macii, Massimo Poncino Power Macromodeling for a High Quality RT-Level Power Estimation. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
6Alberto Nannarelli, Tomás Lang Low-Power Divider. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Floating-point division, low-power, digit-recurrence division
6Amit Chowdhary, Sudhakar Kale, Phani K. Saripella, Naresh Sehgal, Rajesh K. Gupta 0001 Extraction of functional regularity in datapath circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
6Kenneth Y. Yun, David L. Dill Automatic synthesis of extended burst-mode circuits. I.(Specification and hazard-free implementations). Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
6Indradeep Ghosh, Anand Raghunathan, Niraj K. Jha Hierarchical test generation and design for testability methods for ASPPs and ASIPs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
6Gregory H. Chisholm, Steven T. Eckmann, Christopher M. Lain, Robert Veroff Understanding Integrated Circuits. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
6Eric Rotenberg AR-SMT: A Microarchitectural Approach to Fault Tolerance in Microprocessors. Search on Bibsonomy FTCS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF branch prediction and value prediction, trace processors, transient faults, simultaneous multithreading, time redundancy
6Irith Pomeranz, Sudhakar M. Reddy Vector-Based Functional Fault Models for Delay Faults. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF functional tests, delay faults, path delay faults
6Alessandro Bogliolo, Luca Benini, Giovanni De Micheli Characterization-Free Behavioral Power Modeling. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Modeling, Power consumption, RTL Simulation
6Rita Yu Chen, Robert Michael Owens, Mary Jane Irwin, Raminder Singh Bajwa Validation of an Architectural Level Power Analysis Technique. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF reconstruction, emulation, visibility, functional simulation
6Indradeep Ghosh, Niraj K. Jha, Sudipta Bhawmik A BIST Scheme for RTL Controller-Data Paths Based on Symbolic Testability Analysis. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
6Qinru Qiu, Qing Wu 0002, Massoud Pedram Maximum Power Estimation Using the Limiting Distributions of Extreme Order Statistics. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF reconfigurable computing, event-driven simulation
6Luca Benini, Giovanni De Micheli, Antonio Lioy, Enrico Macii, Giuseppe Odasso, Massimo Poncino Computational Kernels and their Application to Sequential Power Optimization. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF system-on-chip test, testing embedded core, intellectual property test
6Sergey Gavrilov, Alexey Glebov, Satyamurthy Pullela, S. C. Moore, Abhijit Dharchoudhury, Rajendran Panda, Gopalakrishnan Vijayan, David T. Blaauw Library-less synthesis for static CMOS combinational logic circuits. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF library-less synthesis, resynthesized circuits, size-wise CMOS circuit optimization, static CMOS combinational logic circuits, structural CMOS circuit optimization, transistor level technique, CMOS logic circuits, design space, optimal design, circuit performance
6Liang-Chi Chen, Sandeep K. Gupta 0001, Melvin A. Breuer High Quality Robust Tests for Path Delay Faults. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF test generation, fault modeling, delay test, robust test
6Subodh Gupta, Farid N. Najm Power Macromodeling for High Level Power Estimation. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
6Indradeep Ghosh, Anand Raghunathan, Niraj K. Jha Hierarchical Test Generation and Design for Testability of ASPPs and ASIPs. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
6Reinhard Bündgen, Wolfgang Küchlin, Werner Lauterbach Verification of the Sparrow Processor. Search on Bibsonomy ECBS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF symbolic hardware simulation, equational specifications, term rewriting, Hardware verification
6Indradeep Ghosh, Anand Raghunathan, Niraj K. Jha A design for testability technique for RTL circuits using control/data flow extraction. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
6Srinivas Devadas, Abhijit Ghosh, Kurt Keutzer An observability-based code coverage metric for functional simulation. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF verification, code coverage, functional simulation
6Vivek Tiwari, Sharad Malik, Andrew Wolfe, Mike Tien-Chien Lee Instruction Level Power Analysis and Optimization of Software. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF low power software, embedded systems, low power design, embedded software, power estimation, power optimization
6Mirjam Schönfeld, Jens Franzen, Markus Schwiegershausen, Peter Pirsch, Uwe Vehlies, Andreas Münzner The LISA design environment for the synthesis of array processors including memories for the data transfer and fault tolerance by reconfiguration and coding techniques. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
6Hakan Yalcin, John P. Hayes Hierarchical timing analysis using conditional delays. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Hierarchical timing analysis, conditional delays, symbolic analysis, timing modeling, path sensitization, high-level modeling
6Dimitrios Kagaris, Fillia Makedon, Spyros Tragoudas A method for pseudo-exhaustive test pattern generation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
6Vivek Tiwari, Sharad Malik, Andrew Wolfe Power analysis of embedded software: a first step towards software power minimization. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
6Robert B. Mueller-Thuns, Daniel G. Saab, Robert F. Damiano, Jacob A. Abraham VLSI logic and fault simulation on general-purpose parallel computers. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
6John D. Calhoun, Franc Brglez A framework and method for hierarchical test generation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
6Robert S. Boyer, J Strother Moore A Theorem Prover for a Computational Logic. Search on Bibsonomy CADE The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
6Michael Zimmermann, Manfred Geilert Generation of embedded RAMs with built-in test using object-oriented programming. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
6Nagisa Ishiura, Hiroto Yasuura, Shuzo Yajima NES: The Behavioral Model for the Formal Semantics of a Hardware Design Language UDL/I. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
6Carlos Delgado Kloos STREAM: A Scheme Language for Formally Describing Digital Circuits. Search on Bibsonomy PARLE (2) The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
5Mohammad Tehranipoor, Farinaz Koushanfar A Survey of Hardware Trojan Taxonomy and Detection. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF hardware Trojans, Trojan taxonomy and detection, security, design and test, ICs
5Alireza Vahdatpour, Miodrag Potkonjak Leakage minimization using self sensing and thermal management. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF delay, thermal management, leakage energy
5Sina Meraji, Wei Zhang 0034, Carl Tropper Brief announcement: a reinforcement learning approach for dynamic load-balancing of parallel digital logic simulation. Search on Bibsonomy SPAA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF digital logic simulation, reinforcement learning, dynamic load-balancing, time warp, verilog
5Flavius Opritoiu, Mircea Vladutiu, Mihai Udrescu, Lucian Prodan Round-level concurrent error detection applied to Advanced Encryption Standard. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
5Ivan Poliakov, Victor Khomenko, Alexandre Yakovlev Workcraft - A Framework for Interpreted Graph Models. Search on Bibsonomy Petri Nets The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
5Lei Chen 0010, Zhiquan Zhang, Zhiping Wen 0001 A novel BIST approach for testing input/output buffers in FPGAs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF i/o buffers, built-in self-test, fpga testing
5Yuki Yoshikawa, Satoshi Ohtake, Tomoo Inoue, Hideo Fujiwara Fast false path identification based on functional unsensitizability using RTL information. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
5Qing Xu 0004, Carl Tropper On Determining How Many Computers to Use in Parallel VLSI Simulation. Search on Bibsonomy PADS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
5Siddharth Garg, Diana Marculescu 3D-GCP: An analytical model for the impact of process variations on the critical path delay distribution of 3D ICs. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
5Suresh Raman, Mike Lubyanitsky Cone Resynthesis ECO Methodology for Multi-Million Gate Designs. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
5P. Balasubramanian 0001, David A. Edwards A New Design Technique for Weakly Indicating Function Blocks. Search on Bibsonomy DDECS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
5Christian Haufe, Frank Rogin Ad-Hoc Translations to Close Verilog Semantics Gap. Search on Bibsonomy DDECS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
5Tai-Hua Lu, Chung-Ho Chen, Kuen-Jong Lee A hybrid software-based self-testing methodology for embedded processor. Search on Bibsonomy SAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF embedded processor testing, fault coverage, functional testing, software-based self-test
5Melanie Elm, Hans-Joachim Wunderlich Scan Chain Organization for Embedded Diagnosis. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
5Kyuho Shim, Young-Rae Cho, Namdo Kim, Hyuncheol Baik, Kyungkuk Kim, Dusung Kim, Jaebum Kim, Byeongun Min, Kyumyung Choi, Maciej J. Ciesielski, Seiyang Yang A fast two-pass HDL simulation with on-demand dump. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
5Eduardo Luis Rhod, Luigi Carro An efficient test and characterization approach for nanowire-based architectures. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF nanoPLA, test, yield, characterization, nanowires
5Pradeep Fernando, Hariharan Sankaran, Srinivas Katkoori, Didier Keymeulen, Adrian Stoica, Ricardo Salem Zebulum, Rajeshuni Ramesham A customizable FPGA IP core implementation of a general purpose Genetic Algorithm engine. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
5Ashur Rafiev, Julian P. Murphy, Danil Sokolov, Alexandre Yakovlev Conversion driven design of binary to mixed radix circuits. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
5Kok-Leong Chang, Yao Zhu, Bah-Hwee Gwee De-synchronization of a point-of-sales digital-logic controller. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
5Azam Beg, P. W. Chandana Prasad, Walid Ibrahim, Emad Abu Shama Utilizing synthesis to verify Boolean function models. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
5Tai-Hua Lu, Chung-Ho Chen, Kuen-Jong Lee A hybrid self-testing methodology of processor cores. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
5Renfei Liu, Keshab K. Parhi Fast composite field S-box architectures for advanced encryption standard. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF advanced encryption standard (aes), composite finite field, s-box, precomputation
5André Sülflow, Görschwin Fey, Roderick Bloem, Rolf Drechsler Using unsatisfiable cores to debug multiple design errors. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF sat-based debugging, unsatisfiable core, fault localization
5Viswanathan Subramanian, Arun K. Somani Conjoined Pipeline: Enhancing Hardware Reliability and Performance through Organized Pipeline Redundancy. Search on Bibsonomy PRDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
5Xiaoji Ye, Frank Liu 0001, Peng Li 0001 Fast Variational Interconnect Delay and Slew Computation Using Quadratic Models. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
5Paolo Bonzini, Dilek Harmanci, Laura Pozzi A Study of Energy Saving in Customizable Processors. Search on Bibsonomy SAMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
5Toshinori Sato, Yuji Kunitake Exploiting Input Variations for Energy Reduction. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF typical-case design, dynamic retiming, reliable microarchitecture, robust microarchitecture, DVFS, deep sub-micron
5Seonyoung Lee, Kyeongsoon Cho Design of Transform and Quantization Circuit for Multi-Standard Integrated Video Decoder. Search on Bibsonomy SiPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
5Atsushi Hasegawa Recent Trend in Industry and Expectation to DA Research. Search on Bibsonomy ATVA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
5Karthick Parashar, Nitin Chandrachoodan A Novel Event Based Simulation Algorithm for Sequential Digital Circuit Simulation. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
5Zain-ul-Abdin, Bertil Svensson A Study of Design Efficiency with a High-Level Language for FPGAs. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
5Hiroki Matsutani, Michihiro Koibuchi, Hideharu Amano Performance, Cost, and Energy Evaluation of Fat H-Tree: A Cost-Efficient Tree-Based On-Chip Network. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
5Houjun Liang, Wenjian Luo, Xufa Wang Designing Polymorphic Circuits with Evolutionary Algorithm Based on Weighted Sum Method. Search on Bibsonomy ICES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Polymorphic Circuit, Weighted Sum, Evolutionary Algorithm
5Shanq-Jang Ruan, Wei-Te Lin Bipartition Architecture for Low Power JPEG Huffman Decoder. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Low power, Decoding, Bipartition, Huffman
5Chandramouli V. Kashyap, Chirayu S. Amin, Noel Menezes, Eli Chiprout A nonlinear cell macromodel for digital applications. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
5Lei Cheng 0001, Deming Chen, Martin D. F. Wong, Mike Hutton, Jason Govig Timing constraint-driven technology mapping for FPGAs considering false paths and multi-clock domains. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
5Kundan Kumar, Debdeep Mukhopadhyay, Dipanwita Roy Chowdhury Design of a Differential Power Analysis Resistant Masked AES S-Box. Search on Bibsonomy INDOCRYPT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
5Mohammad Samie, Gabriel Dragffy, Janice Kiely Novel Embryonic Array with Neural Network Characteristics. Search on Bibsonomy AHS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
5Taeko Matsunaga, Yusuke Matsunaga Area minimization algorithm for parallel prefix adders under bitwise delay constraints. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF arithmetic synthesis, dynamic programming, parallel prefix adder
5Zhengtao Yu 0002, Marios C. Papaefthymiou, Xun Liu Skew spreading for peak current reduction. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF low power, clock skew, clock scheduling
Displaying result #801 - #900 of 1066 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license