The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for inductance with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1985-1995 (15) 1996-1998 (22) 1999 (21) 2000 (23) 2001 (35) 2002 (54) 2003 (49) 2004 (48) 2005 (50) 2006 (61) 2007 (37) 2008 (32) 2009 (19) 2010-2011 (29) 2012 (17) 2013 (22) 2014 (23) 2015 (18) 2016 (29) 2017 (30) 2018 (42) 2019 (42) 2020 (35) 2021 (42) 2022 (39) 2023 (39) 2024 (16)
Publication types (Num. hits)
article(396) incollection(1) inproceedings(490) phdthesis(2)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 243 occurrences of 146 keywords

Results
Found 889 publication records. Showing 889 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
10Antti Heiskanen, Timo Rahkonen Comparison of two class E amplifiers for EER transmitter. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Tadashi Suetsugu, Marian K. Kazimierczuk Voltage-clamped class E amplifier with transmission-line transformer. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10H. N. Nagaraja, Amit Patra, Debaprasad Kastha Generalized analysis of integrated magnetic component based low voltage interleaved DC-DC buck converter for efficiency improvement. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Yarallah Koolivand, Omid Shoaei, Ali Zahabi, Hossein Shamsi, Parviz Jabedar Maralani A new technique for design CMOS LNA for multi-standard receivers. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Naokazu Muramatsu, Hiroshi Okazaki, Takao Waho A novel oscillation circuit using a resonant-tunneling diode. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Bartomeu Alorda, Sebastià A. Bota, Jaume Segura 0001 A Non-Intrusive Built-In Sensor for Transient Current Testing of Digital VLSI Circuits. Search on Bibsonomy IOLTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Current based testing, built-in current monitors, high-speed measurements, transient current
10Daniel A. Andersson, Lars J. Svensson, Per Larsson-Edefors Accounting for the skin effect during repeater insertion. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF buffer design, optimization, delay, power, repeater insertion, skin effect
10Amitava Bhaduri, Ranga Vemuri Moment-driven coupling-aware routing methodology. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF coupling-aware, routing, moments
10Mikhail Popovich, Eby G. Friedman Noise Aware Decoupling Capacitors for Multi-Voltage Power Distribution Systems. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Bahar Khadem Hosseinieh, Nasser Masoumi A Comprehensive Model for On-Chip Spiral Inductors. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Rong-Jong Wai, Jeng-Dao Lee Intelligent motion control for linear piezoelectric ceramic motor drive. Search on Bibsonomy IEEE Trans. Syst. Man Cybern. Part B The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Ching-An Lin, Chien-Hsien Wu Second-order approximations for RLC trees. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Kanak Agarwal, Dennis Sylvester, David T. Blaauw A library compatible driver output model for on-chip RLC transmission lines. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Adrian Stoica, Ricardo Salem Zebulum, Didier Keymeulen, Michael I. Ferguson, Vu Duong, Xin Guo 0002 Evolvable hardware techniques for on-chip automated reconfiguration of programmable devices. Search on Bibsonomy Soft Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Reconfigurable chips, Programmable devices, Genetic algorithms, Evolvable hardware, Automated design
10Tudor Murgan, Alberto García Ortiz, Clemens Schlachta, Heiko Zimmer, Mihail Petrov, Manfred Glesner On Timing and Power Consumption in Inductively Coupled On-Chip Interconnects. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Alain Lopez, Denis Deschacht Comparison between Different Data Buses Configurations. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Yong Zhan, Sachin S. Sapatnekar Optimization of Integrated Spiral Inductors Using Sequential Quadratic Programming. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Jeffrey McFiggins, Marie Yvanoff, Jayanti Venkataraman Generalized Analytical Model for the Design of Irregularly Shaped Power Planes and Passives in Mixed Signal Applications. Search on Bibsonomy DSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Hao Ji, Qingjian Yu, Wayne Wei-Ming Dai SPICE compatible circuit models for partial reluctance K. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Tudor Murgan, Clemens Schlachta, Mihail Petrov, Leandro Soares Indrusiak, Alberto García Ortiz, Manfred Glesner, Ricardo A. L. Reis Accurate capture of timing parameters in inductively-coupled on-chip interconnects. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF signal delay, crosstalk, on-chip interconnects, interconnect models, inductive coupling
10Lukasz Zielinski, Jerzy Rutkowski Design Centering and Tolerancing with Utilization of Evolutionary Techniques. Search on Bibsonomy WSC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Federico Sandoval-Ibarra, L. Flores-Gómez Design of Silicon-Based Suspended Inductors for UHF Applications. Search on Bibsonomy CONIELECOMP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Takao Tsuchiya, Yukio Kagawa On the Passive Vibration Damping by Piezoelectric Transducers with Inductive Loading. Search on Bibsonomy AsiaSim The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Xiuqin Chen, Shaoming Yang, M. Hasegawa, Kan Takeuchi, K. Kawabe, Seiji Motojima Novel Tactile Sensors Manufactured by Carbon Microcoils. Search on Bibsonomy ICMENS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Olivier Duval, L.-P. Lafrance, Yvon Savaria, Patrick Desjardins An Integrated Test Platform for Nanostructure Electrical Characterization. Search on Bibsonomy ICMENS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Imed Zine-El-Abidine, Michal M. Okoniewski, John G. McRory A Tunable RF MEMS Inductor. Search on Bibsonomy ICMENS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF tunable, MEMS, radio frequency, inductor
10Alain Salles, Bruno Estibals, Corinne Alonso Electro-thermal study of nano-inductors for integrated low power converters. Search on Bibsonomy ISCAS (3) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Sunil Yu, Dusan Petranovic, Shoba Krishnan, Kwyro Lee, Cary Y. Yang Resistance Matrix in Crosstalk Modeling for Muliconductor Systems. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Yves Quéré, Thierry LeGouguec, Pierre-Marie Martin, Fabrice Huret Interconnect Mode Conversion in High-Speed VLSI Circuits. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Li Ding 0002, Pinaki Mazumder Simultaneous switching noise analysis using application specific device modeling. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Jérôme Lescot, François J. R. Clément Interconnect Parasitic Extraction Tool for Radio-Frequency Integrated Circuits. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Mario R. Casu, Mariagrazia Graziano, Gianluca Piccinini, Guido Masera, Maurizio Zamboni Effects of Temperature in Deep-Submicron Global Interconnect Optimization. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Tsung-Hao Chen, Clement Luk, Charlie Chung-Ping Chen SuPREME: Substrate and Power-delivery Reluctance-Enhanced Macromodel Evaluation. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Kee-Keun Lee, Bruce C. Kim MEMS Spring Probe for Next Generation Wafer Level Testing. Search on Bibsonomy ICMENS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Payman Zarkesh-Ha, Ken Doniger, William Loh, Dechang Sun, Rick Stephani, Gordon Priebe A Compact Model for Analysis and Design of On-chip Power Network with Decoupling Capacitors. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Miguel Ângelo M. Madureira, Paulo M. P. Monteiro, Rui L. Aguiar, Manuel Violas, M. Gloanec, E. Leclerc, Bernard Lefebvre Broad-band transimpedance amplifier for multigigabit-per-second (40 Gbps) optical communication systems in 0.135µm PHEMT technology. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Miguel Ângelo M. Madureira, Paulo M. P. Monteiro, Rui L. Aguiar, Manuel Violas, M. Gloanec, E. Leclerc, Bernard Lefebvre High gain GaAs 10Gbps transimpedance amplifier with integrated bondwire effects. Search on Bibsonomy ISCAS (2) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Noha H. Mahmoud, Yehea I. Ismail Accurate rise time and overshoots estimation in RLC interconnects. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Tadashi Suetsugu, Marian K. Kazimierczuk Lossless voltage-clamping of a class E amplifier with a transformer and a diode. Search on Bibsonomy ISCAS (3) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Robert Charles Koons, John R. Long An inductively-tuned quadrature oscillator with extended frequency control range. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Mohamed A. Elgamel, Kannan S. Tharmalingam, Magdy A. Bayoumi Noise-constrained interconnect optimization for nanometer technologies. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Nirmal K. Bose, Alfred Fettweis Skew-symmetry in the equivalent representation problem of a time-varying multiport inductor. Search on Bibsonomy ISCAS (3) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Magdy A. El-Moursy, Eby G. Friedman Optimum wire sizing of RLC interconnect with repeaters. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF power delay product, transient power dissipation, propagation delay, repeater insertion, wire sizing, RLC interconnect
10Li Yang, J. S. Yuan Analyzing Internal-Switching Induced Simultaneous Switching Noise. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Shizhong Mei, Chirayu S. Amin, Yehea I. Ismail Efficient model order reduction including skin effect. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF simulation, VLSI, model order reduction, skin effect, RLC
10Rajesh Kumar 0006 Interconnect and noise immunity design for the Pentium 4 processor. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Hao Yu 0001, Lei He 0001 Vector potential equivalent circuit based on PEEC inversion. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Christer Svensson Electrical interconnects revitalized. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Michael W. Beattie, Lawrence T. Pileggi On-chip induction modeling: basics and advanced methods. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Yungseon Eo, Jongin Shim, William R. Eisenstadt A traveling-wave-based waveform approximation technique for thetiming verification of single transmission lines. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Yungseon Eo, Seongkyun Shin, William R. Eisenstadt, Jongin Shim Generalized traveling-wave-based waveform approximation technique for the efficient signal integrity verification of multicoupled transmission line system. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Gregorio Cappuccino, Giuseppe Cocorullo Operating Region Modelling and Timing Analysis of CMOS Gates Driving Transmission Lines. Search on Bibsonomy PATMOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Yolanda Lechuga, Román Mozuelos, Mar Martínez, Salvador Bracho Built-In Dynamic Current Sensor for Hard-to-Detect Faults in Mixed-Signal Ics. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Andrea Pacelli A local circuit topology for inductive parasitics. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Masaru Ogawa Magnetizing inrush current of a transformer and a new technique of its computation. Search on Bibsonomy ISCAS (3) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Min Zhao 0001, Kaushik Gala, Vladimir Zolotov, Yuhong Fu, Rajendran Panda, R. Ramkumar, Bhuwan K. Agrawal Worst case clock skew under power supply variations. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF clock skew, power supply noise, clock network
10Herng-Jer Lee, Chia-Chi Chu, Wu-Shiung Feng Crosstalk estimation in high-speed VLSI interconnect using coupled RLC-tree models. Search on Bibsonomy APCCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Apisak Worapishet, S. Ninyawee, Mitchai Chongcheawchamnan Enhanced tuneable coupled inductor for ultra-wide variable centre frequency LC filters. Search on Bibsonomy APCCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Hui Zheng, Lawrence T. Pileggi Modeling and analysis of regular symmetrically structured power/ground distribution networks. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF design tegularity, folding technique, power/ground distribution, susceptance
10Jason Cong, Cheng-Kok Koh, Patrick H. Madden Interconnect layout optimization under higher order RLC model forMCM designs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Tao Lin, Lawrence T. Pileggi RC(L) interconnect sizing with second order considerations via posynomial programming. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF RC trees, VLSI design automation, central moments, posynomiality, convex programming, interconnect optimization
10Kenneth Rose A comprehensive look at system level model. Search on Bibsonomy SLIP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Pietro Andreani A 1.8-GHz monolithic CMOS VCO tuned by an inductive varactor. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Kinya Matsuda, Yoshihiko Horio, Kazuyuki Aihara A simulated LC oscillator using multi-input floating-gate MOSFETS. Search on Bibsonomy ISCAS (3) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10William H. Kao, Chi-Yuan Lo, Raminderpal Singh, Mark Basel Parasitic extraction: current state of the art and future trends. Search on Bibsonomy ISCAS (5) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Juan A. Montiel-Nelson, De de Armas, Roberto Sarmiento, Antonio Núñez, Saeid Nooshabadi A compact layout technique to minimize high frequency switching effects in high speed circuits. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Juan A. Montiel-Nelson, V. de Armas, Roberto Sarmiento, Antonio Núñez A Compact Layout Technique for Reducing Switching Current Effects in High Speed Circuits. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Dingming Xie, Leonard Forbes Phase noise on a 2-GHz CMOS LC oscillator. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Dennis Sylvester, Kurt Keutzer A global wiring paradigm for deep submicron design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Shiyou Zhao, Kaushik Roy 0001, Cheng-Kok Koh Estimation of Inductive and Resistive Switching Noise on Power Supply Network in Deep Sub-Micron CMOS Circuits. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Mehdi M. Mechaik Effects of Package Stackups on Microprocessor Performance. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Li-Fu Chang, Keh-Jeng Chang, Christophe J. Bianchi A Proposal for Accurately Modeling Frequency-Dependent On-Chip Interconnect Impedance. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Sanjeev Kumar Maheshwari, R. S. Krishanan, G. S. Visweswaran Jitter Estimation Methodology for Clock Chips. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Rajat Chaudhry, Rajendran Panda, Tim Edwards, David T. Blaauw Design and Analysis of Power Distribution Networks with Accurate RLC Models. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Qingjian Yu, Janet Meiling Wang, Ernest S. Kuh Passive model order reduction algorithm based on Chebyshev expansion of impulse response of interconnect networks. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Mustafa Celik, Lawrence T. Pileggi Metrics and bounds for phase delay and signal attenuation in RC(L)clock trees. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Yorgos Koutsoyannopoulos, Yannis Papananos, Sotiris Bantas, Carlo Alemanni Novel Si integrated inductor and transformer structures for RF IC design. Search on Bibsonomy ISCAS (2) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Kevin J. Kerns, Andrew T. Yang Preservation of passivity during RLC network reduction via split congruence transformations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Juan A. Montiel-Nelson, V. de Armas, Roberto Sarmiento, Antonio Núñez A Cell and Macrocell Compiler for GaAs VLSI Full-Custom Design. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Gallium Arsenide automated layout generation system, GaAs VLSI design, power supply and ground distribution model, full-custom cell layout style, full-custom layouts of very high speed circuits, cell library builder, random logic macrocell generator, iterative logic array generator
10Lawrence T. Pileggi Timing metrics for physical design of deep submicron technologies. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Payam Heydari, Massoud Pedram Calculation of ramp response of lossy transmission lines using two-port network functions. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Yehea I. Ismail, Eby G. Friedman, José Luis Neves Power dissipated by CMOS gates driving lossless transmission lines. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10S. R. Vemuru Effects of simultaneous switching noise on the tapered buffer design. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
10Qing Zhu, Wayne Wei-Ming Dai High-speed clock network sizing optimization based on distributed RC and lossy RLC interconnect models. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
10Kai-Yuan Chao, D. F. Wong 0001 Signal integrity optimization on the pad assignment for high-speed VLSI design. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF pad assignment, simultaneous swiching Noise, floorplanning, crosstalk, signal integrity, packaging
10Thomas F. Hayes, John J. Barrett Modeling of multiconductor systems for packaging and interconnecting high-speed digital IC's. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
10George L. Matthaei, Gilbert C. Chinn, Charles H. Plott, Nadir Dagli A simplified means for computation for interconnect distributed capacitances and inductances. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
10Steven Paul McCormick, Jonathan Allen Waveform Moment Methods for Improved Interconnection Analysis. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
10Guang-Wen Pan, Kenneth S. Olson, Barry K. Gilbert Improved algorithmic methods for the prediction of wavefront propagation behavior in multiconductor transmission lines for high frequency digital signal processors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
Displaying result #801 - #889 of 889 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license