|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 5553 occurrences of 2863 keywords
|
|
|
Results
Found 16798 publication records. Showing 16798 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
12 | Luís A. Alexandre, Joaquim Marques de Sá |
Error Entropy Minimization for LSTM Training. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICANN (1) ![In: Artificial Neural Networks - ICANN 2006, 16th International Conference, Athens, Greece, September 10-14, 2006. Proceedings, Part I, pp. 244-253, 2006, Springer, 3-540-38625-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
12 | Christoph Buchheim, Michael Jünger, Annette Menze, Merijam Percan |
Bimodal Crossing Minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COCOON ![In: Computing and Combinatorics, 12th Annual International Conference, COCOON 2006, Taipei, Taiwan, August 15-18, 2006, Proceedings, pp. 497-506, 2006, Springer, 3-540-36925-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
12 | Jin-Tai Yan, Bo-Yi Chiang, Shi-Qin Huang |
Width and Timing-Constrained Wire Sizing for Critical Area Minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APCCAS ![In: IEEE Asia Pacific Conference on Circuits and Systems 2006, APCCAS 2006, Singapore, 4-7 December 2006, pp. 1276-1279, 2006, IEEE, 1-4244-0387-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
12 | Takao Hinamoto, Yukihiro Shibata, Wu-Sheng Lu |
Minimization of L2-Sensitivity for 2-D Separable-Denominator State-Space Digital Filters Subject to L2-Scaling Constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APCCAS ![In: IEEE Asia Pacific Conference on Circuits and Systems 2006, APCCAS 2006, Singapore, 4-7 December 2006, pp. 390-393, 2006, IEEE, 1-4244-0387-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
12 | Zhen Zhao, Jinian Bian, Zhipeng Liu, Yunfeng Wang, Kang Zhao |
High Level Synthesis with Multiple supply Voltages for Energy and Combined Peak Power Minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APCCAS ![In: IEEE Asia Pacific Conference on Circuits and Systems 2006, APCCAS 2006, Singapore, 4-7 December 2006, pp. 864-867, 2006, IEEE, 1-4244-0387-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
12 | Shih-Hsu Huang, Chun-Hua Cheng, Chung-Hsin Chiang, Chia-Ming Chang 0002 |
Peak Power Minimization through Power Management Scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APCCAS ![In: IEEE Asia Pacific Conference on Circuits and Systems 2006, APCCAS 2006, Singapore, 4-7 December 2006, pp. 868-871, 2006, IEEE, 1-4244-0387-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
12 | Shih-Hsu Huang, Chun-Hua Cheng, Yow-Tyng Nieh, Wei-Chieh Yu |
Register binding for clock period minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 43rd Design Automation Conference, DAC 2006, San Francisco, CA, USA, July 24-28, 2006, pp. 439-444, 2006, ACM, 1-59593-381-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
high-level synthesis, clock skew, timing optimization |
12 | Jaime S. Cardoso 0001, Luís Corte-Real |
Accumulator size minimization for a fast cumulant-based motion estimator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Circuits Syst. Video Technol. ![In: IEEE Trans. Circuits Syst. Video Technol. 15(12), pp. 1660-1664, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | Rüdiger Ebendt, Wolfgang Günther 0001, Rolf Drechsler |
Combining ordered best-first search with branch and bound for exact BDD minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 24(10), pp. 1515-1529, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | Christoph Buchheim, Seok-Hee Hong 0001 |
Crossing Minimization for Symmetries. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Theory Comput. Syst. ![In: Theory Comput. Syst. 38(3), pp. 293-311, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | Joel A. Tropp |
Recovery of short, complex linear combinations via 1 minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Inf. Theory ![In: IEEE Trans. Inf. Theory 51(4), pp. 1568-1570, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | Scott A. Miller, Jérôme Malick |
Newton methods for nonsmooth convex minimization: connections among U-Lagrangian, Riemannian Newton and SQP methods. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Math. Program. ![In: Math. Program. 104(2-3), pp. 609-633, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | Robert Mifflin, Claudia A. Sagastizábal |
A VU-algorithm for convex minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Math. Program. ![In: Math. Program. 104(2-3), pp. 583-608, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Mathematics Subject Classification (2000) 65K05, 90C25 |
12 | Yurii E. Nesterov |
Smooth minimization of non-smooth functions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Math. Program. ![In: Math. Program. 103(1), pp. 127-152, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Non-smooth optimization, Convex optimization, Complexity theory, Optimal methods, Structural optimization |
12 | Jein-Shan Chen, Paul Tseng |
An unconstrained smooth minimization reformulation of the second-order cone complementarity problem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Math. Program. ![In: Math. Program. 104(2-3), pp. 293-327, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Mathematics Subject Classification (1991) 26B05, 26B35, 65K05, 90C33 |
12 | Wonzoo Chung, William A. Sethares, C. Richard Johnson Jr. |
Timing phase offset recovery based on dispersion minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Signal Process. ![In: IEEE Trans. Signal Process. 53(3), pp. 1097-1109, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | Khalifa Djemal |
Speckle reduction in ultrasound images by minimization of total variation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIP (3) ![In: Proceedings of the 2005 International Conference on Image Processing, ICIP 2005, Genoa, Italy, September 11-14, 2005, pp. 357-360, 2005, IEEE, 0-7803-9134-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | Giorgos Serfiotis, Ioanna Koffina, Vassilis Christophides, Val Tannen |
Containment and Minimization of RDF/S Query Patterns. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISWC ![In: The Semantic Web - ISWC 2005, 4th International Semantic Web Conference, ISWC 2005, Galway, Ireland, November 6-10, 2005, Proceedings, pp. 607-623, 2005, Springer, 3-540-29754-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | Rüdiger Ebendt, Rolf Drechsler |
Quasi-Exact BDD Minimization Using Relaxed Best-First Search. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVLSI ![In: 2005 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2005), New Frontiers in VLSI Design, 11-12 May 2005, Tampa, FL, USA, pp. 59-64, 2005, IEEE Computer Society, 0-7695-2365-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | Jérôme Darbon, Marc Sigelle |
A Fast and Exact Algorithm for Total Variation Minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IbPRIA (1) ![In: Pattern Recognition and Image Analysis, Second Iberian Conference, IbPRIA 2005, Estoril, Portugal, June 7-9, 2005, Proceedings, Part I, pp. 351-359, 2005, Springer, 3-540-26153-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | Adolfo Martínez Usó, Filiberto Pla, Pedro García-Sevilla |
Multispectral Image Segmentation by Energy Minimization for Fruit Quality Estimation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IbPRIA (2) ![In: Pattern Recognition and Image Analysis, Second Iberian Conference, IbPRIA 2005, Estoril, Portugal, June 7-9, 2005, Proceedings, Part II, pp. 689-696, 2005, Springer, 3-540-26154-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | Jacek Blazewicz, Erwin Pesch, Malgorzata Sterna, Frank Werner 0001 |
Metaheuristics for Late Work Minimization in Two-Machine Flow Shop with Common Due Date. ![Search on Bibsonomy](Pics/bibsonomy.png) |
KI ![In: KI 2005: Advances in Artificial Intelligence, 28th Annual German Conference on AI, KI 2005, Koblenz, Germany, September 11-14, 2005, Proceedings, pp. 222-234, 2005, Springer, 3-540-28761-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | Artem Sokolov, Alodeep Sanyal, L. Darrell Whitley, Yashwant K. Malaiya |
Dynamic power minimization during combinational circuit testing as a traveling salesman problem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Congress on Evolutionary Computation ![In: Proceedings of the IEEE Congress on Evolutionary Computation, CEC 2005, 2-4 September 2005, Edinburgh, UK, pp. 1088-1095, 2005, IEEE, 0-7803-9363-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | Ubaldo M. García-Palomares |
Non Monotone Algorithms for Unconstrained Minimization: Upper Bounds on Function Values. ![Search on Bibsonomy](Pics/bibsonomy.png) |
System Modelling and Optimization ![In: System Modeling and Optimization, Proceedings of the 22nd IFIP TC7 Conference held from July 18-22, 2005, in Turin, Italy, pp. 91-100, 2005, Springer, 0-387-32774-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Non Monotone, Lineal Search, Trust Region |
12 | Liang Huang, Yici Cai, Qiang Zhou 0001, Xianlong Hong, Jiang Hu, Yongqiang Lu 0001 |
Clock network minimization methodology based on incremental placement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 2005 Conference on Asia South Pacific Design Automation, ASP-DAC 2005, Shanghai, China, January 18-21, 2005, pp. 99-102, 2005, ACM Press, 0-7803-8737-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | Parosh Aziz Abdulla, Johann Deneux, Lisa Kaati, Marcus Nilsson |
Minimization of Non-deterministic Automata with Large Alphabets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CIAA ![In: Implementation and Application of Automata, 10th International Conference, CIAA 2005, Sophia Antipolis, France, June 27-29, 2005, Revised Selected Papers, pp. 31-42, 2005, Springer, 3-540-31023-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | Yong Lei, James H. Andrews |
Minimization of Randomized Unit Test Cases. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSRE ![In: 16th International Symposium on Software Reliability Engineering (ISSRE 2005), 8-11 November 2005, Chicago, IL, USA, pp. 267-276, 2005, IEEE Computer Society, 0-7695-2482-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | Hadi Moradi, Sukhan Lee 0001 |
Joint Limit Analysis and Elbow Movement Minimization for Redundant Manipulators Using Closed Form Method. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIC (2) ![In: Advances in Intelligent Computing, International Conference on Intelligent Computing, ICIC 2005, Hefei, China, August 23-26, 2005, Proceedings, Part II, pp. 423-432, 2005, Springer, 3-540-28227-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | Yang Liu 0014, Huaiping Yang, Wenping Wang |
Reconstructing B-spline Curves from Point Clouds--A Tangential Flow Approach Using Least Squares Minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SMI ![In: 2005 International Conference on Shape Modeling and Applications (SMI 2005), 15-17 June 2005, Cambridge, MA, USA, pp. 4-12, 2005, IEEE Computer Society, 0-7695-2379-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | Dong Hwa Kim, Jin Ill Park |
Loss Minimization Control of Induction Motor Using GA-PSO. ![Search on Bibsonomy](Pics/bibsonomy.png) |
KES (2) ![In: Knowledge-Based Intelligent Information and Engineering Systems, 9th International Conference, KES 2005, Melbourne, Australia, September 14-16, 2005, Proceedings, Part II, pp. 222-227, 2005, Springer, 3-540-28895-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | Davide Onofrio, Stefano Tubaro |
A Model Based Energy Minimization Method for 3D Face Reconstruction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICME ![In: Proceedings of the 2005 IEEE International Conference on Multimedia and Expo, ICME 2005, July 6-9, 2005, Amsterdam, The Netherlands, pp. 1274-1277, 2005, IEEE Computer Society, 0-7803-9331-7. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | David Correa Martins Jr., Roberto M. Cesar, Junior Barrera |
Automatic Window Design for Gray-Scale Image Processing Based on Entropy Minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CIARP ![In: Progress in Pattern Recognition, Image Analysis and Applications, 10th Iberoamerican Congress on Pattern Recognition, CIARP 2005, Havana, Cuba, November 15-18, 2005, Proceedings, pp. 813-824, 2005, Springer, 3-540-29850-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | Maryam Ashouei, Abhijit Chatterjee, Adit D. Singh, Vivek De |
A Dual-Vt Layout Approach for Statistical Leakage Variability Minimization in Nanometer CMOS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 23rd International Conference on Computer Design (ICCD 2005), 2-5 October 2005, San Jose, CA, USA, pp. 567-573, 2005, IEEE Computer Society, 0-7695-2451-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | Takao Hinamoto, Ken-ichi Iwata, Wu-Sheng Lu |
Minimization of L2-sensitivity for a class of 2D state-space digital filters subject to L2-scaling constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (3) ![In: International Symposium on Circuits and Systems (ISCAS 2005), 23-26 May 2005, Kobe, Japan, pp. 2401-2404, 2005, IEEE, 0-7803-8834-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | Fevzi Belli, Christof J. Budnik |
Towards Minimization of Test Sets for Human-Computer Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEA/AIE ![In: Innovations in Applied Artificial Intelligence, 18th International Conference on Industrial and Engineering Applications of Artificial Intelligence and Expert Systems, IEA/AIE 2005, Bari, Italy, June 22-24, 2005, Proceedings, pp. 300-309, 2005, Springer, 3-540-26551-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | Hatem Charfi, André Gagalowicz, Rémi Brun |
Determination of Fabric Viscosity Parameters Using Iterative Minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CAIP ![In: Computer Analysis of Images and Patterns, 11th International Conference, CAIP 2005, Versailles, France, September 5-8, 2005, Proceedings, pp. 789-798, 2005, Springer, 3-540-28969-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | Hang Li, Zhenyu Qi, Sheldon X.-D. Tan, Lifeng Wu, Yici Cai, Xianlong Hong |
Partitioning-based approach to fast on-chip decap budgeting and minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 42nd Design Automation Conference, DAC 2005, San Diego, CA, USA, June 13-17, 2005, pp. 170-175, 2005, ACM, 1-59593-058-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
on-chi, power/grid networks, simulation, optimization, IR drop, decoupling capacitor |
12 | Yuantao Peng, Xun Liu |
Freeze: engineering a fast repeater insertion solver for power minimization using the ellipsoid method. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 42nd Design Automation Conference, DAC 2005, San Diego, CA, USA, June 13-17, 2005, pp. 813-818, 2005, ACM, 1-59593-058-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
low power, interconnect, repeater insertion |
12 | Gita Sukthankar, Katia P. Sycara |
A cost minimization approach to human behavior recognition. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AAMAS ![In: 4th International Joint Conference on Autonomous Agents and Multiagent Systems (AAMAS 2005), July 25-29, 2005, Utrecht, The Netherlands, pp. 1067-1074, 2005, ACM, 1-59593-094-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
support vector machines, dynamic programming, motion capture, plan recognition |
12 | Ricardo Fabbri, Benjamin B. Kimia |
High-Order Differential Geometry of Curves for Multiview Reconstruction and Matching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EMMCVPR ![In: Energy Minimization Methods in Computer Vision and Pattern Recognition, 5th International Workshop, EMMCVPR 2005, St. Augustine, FL, USA, November 9-11, 2005, Proceedings, pp. 645-660, 2005, Springer, 3-540-30287-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | Qilong Zhang, Richard Souvenir, Robert Pless |
Segmentation Informed by Manifold Learning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EMMCVPR ![In: Energy Minimization Methods in Computer Vision and Pattern Recognition, 5th International Workshop, EMMCVPR 2005, St. Augustine, FL, USA, November 9-11, 2005, Proceedings, pp. 398-413, 2005, Springer, 3-540-30287-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | Luz Abril Torres-Méndez, Gregory Dudek |
Color Correction of Underwater Images for Aquatic Robot Inspection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EMMCVPR ![In: Energy Minimization Methods in Computer Vision and Pattern Recognition, 5th International Workshop, EMMCVPR 2005, St. Augustine, FL, USA, November 9-11, 2005, Proceedings, pp. 60-73, 2005, Springer, 3-540-30287-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | Xiaohai Wu, Xianlong Hong, Yici Cai, Zuying Luo, Chung-Kuan Cheng, Jun Gu, Wayne Wei-Ming Dai |
Area minimization of power distribution network using efficient nonlinear programming techniques. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 23(7), pp. 1086-1094, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Cliff C. N. Sze, Ting-Chi Wang, Li-C. Wang |
Multilevel circuit clustering for delay minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 23(7), pp. 1073-1085, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Yuri Boykov, Vladimir Kolmogorov |
An Experimental Comparison of Min-Cut/Max-Flow Algorithms for Energy Minimization in Vision. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Pattern Anal. Mach. Intell. ![In: IEEE Trans. Pattern Anal. Mach. Intell. 26(9), pp. 1124-1137, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Athanasia Karakitsiou, Athanasia Mavrommati, Athanasios Migdalas |
Efficient minimization over products of simplices and its application to nonlinear multicommodity network problems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Oper. Res. ![In: Oper. Res. 4(2), pp. 99-118, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
solution techniques, linearization, network problem |
12 | Alejandro Fernández-Margarit, Francisco Félix Lara Martín |
Induction, minimization and collection for Deltan+1(T)-formulas. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Arch. Math. Log. ![In: Arch. Math. Log. 43(4), pp. 505-542, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
n+1, Induction, Collection, formulas |
12 | W. Rhett Davis, Ambarish M. Sule, Hao Hua |
Multi-Parameter Power Minimization of Synthesized Datapaths. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVLSI ![In: 2004 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2004), Emerging Trends in VLSI Systems Design, 19-20 February 2004, Lafayette, LA, USA, pp. 151-157, 2004, IEEE Computer Society, 0-7695-2097-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Shu-Shin Chin, Sangjin Hong, Suhwan Kim |
Usage of Application-Specific Switching Activity for Energy Minimization of Arithmetic Units. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVLSI ![In: 2004 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2004), Emerging Trends in VLSI Systems Design, 19-20 February 2004, Lafayette, LA, USA, pp. 158-166, 2004, IEEE Computer Society, 0-7695-2097-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Dongwoo Lee, Harmander Deogun, David T. Blaauw, Dennis Sylvester |
Simultaneous State, Vt and Tox Assignment for Total Standby Power Minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2004 Design, Automation and Test in Europe Conference and Exposition (DATE 2004), 16-20 February 2004, Paris, France, pp. 494-499, 2004, IEEE Computer Society, 0-7695-2085-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Nobuhiro Doi, Takashi Horiyama, Masaki Nakanishi, Shinji Kimura |
Minimization of fractional wordlength on fixed-point conversion for high-level synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, Yokohama, Japan, January 27-30, 2004, pp. 80-85, 2004, IEEE Computer Society, 0-7803-8175-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Youhua Shi, Shinji Kimura, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki |
Alternative Run-Length Coding through Scan Chain Reconfiguration for Joint Minimization of Test Data Volume and Power Consumption in Scan Test. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 13th Asian Test Symposium (ATS 2004), 15-17 November 2004, Kenting, Taiwan, pp. 432-437, 2004, IEEE Computer Society, 0-7695-2235-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Jérôme Darbon, Marc Sigelle |
Exact Optimization of Discrete Constrained Total Variation Minimization Problems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWCIA ![In: Combinatorial Image Analysis, 10th InternationalWorkshop, IWCIA 2004, Auckland, New Zealand, December 1-3, 2004, Proceedings, pp. 548-557, 2004, Springer, 3-540-23942-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Adolfo Martínez Usó, Filiberto Pla, Pedro García-Sevilla |
A Novel Energy Minimization Criterion for Color Image Segmentation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPR (3) ![In: 17th International Conference on Pattern Recognition, ICPR 2004, Cambridge, UK, August 23-26, 2004., pp. 206-209, 2004, IEEE Computer Society, 0-7695-2128-2. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Heiko Falk, Manish Verma |
Combined Data Partitioning and Loop Nest Splitting for Energy Consumption Minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SCOPES ![In: Software and Compilers for Embedded Systems, 8th International Workshop, SCOPES 2004, Amsterdam, The Netherlands, September 2-3, 2004, Proceedings, pp. 137-151, 2004, Springer, 3-540-23035-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Vittorio Bilò, Michele Flammini |
On the IP Routing Tables Minimization with Addresses Reassignment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 18th International Parallel and Distributed Processing Symposium (IPDPS 2004), CD-ROM / Abstracts Proceedings, 26-30 April 2004, Santa Fe, New Mexico, USA, 2004, IEEE Computer Society, 0-7695-2132-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Tsang-Ling Sheu, Bing-Chi Kuo |
End-to-end jitter minimization with TCM for real-time multimedia traffic in DiffServ networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCC ![In: Proceedings of the 9th IEEE Symposium on Computers and Communications (ISCC 2006), June 28 - July 1, 2004, Alexandria, Egypt, pp. 822-827, 2004, IEEE Computer Society, 0-7803-8623-X. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Yongyou Hu, Hongye Su, Jian Chu |
A new algorithm for unconstrained optimization problem with the form of sum of squares minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SMC (7) ![In: Proceedings of the IEEE International Conference on Systems, Man & Cybernetics: The Hague, Netherlands, 10-13 October 2004, pp. 6108-6112, 2004, IEEE, 0-7803-8566-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Mehran Rashidi, Farzan Rashidi, Mohammad Hossein Aghdaei, Hamid Monavar |
Speed Control and Torque Ripple Minimization in Switch Reluctance Motors Using Context Based Brain Emotional Learning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
KES ![In: Knowledge-Based Intelligent Information and Engineering Systems, 8th International Conference, KES 2004, Wellington, New Zealand, September 20-25, 2004. Proceedings. Part III, pp. 278-284, 2004, Springer, 3-540-23205-2. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Xiaofei Huang |
Cooperative Optimization for Energy Minimization in Computer Vision: A Case Study of Stereo Matching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAGM-Symposium ![In: Pattern Recognition, 26th DAGM Symposium, August 30 - September 1, 2004, Tübingen, Germany, Proceedings, pp. 302-309, 2004, Springer, 3-540-22945-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Ayse Karaman, Hossam S. Hassanein |
Constrained Cost Minimization for Multipoint Communication Groups. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP Workshops ![In: 33rd International Conference on Parallel Processing Workshops (ICPP 2004 Workshops), 15-18 August 2004, Montreal, Quebec, Canada, pp. 292-299, 2004, IEEE Computer Society, 0-7695-2198-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Shinobu Nagayama, Tsutomu Sasao |
On the Minimization of Average Path Lengths for Heterogeneous MDDs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISMVL ![In: 34th IEEE International Symposium on Multiple-Valued Logic (ISMVL 2004), 19-22 May 2004, Toronto, Canada, pp. 216-222, 2004, IEEE Computer Society, 0-7695-2130-4. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Chunyan Wang 0004 |
A minimization of the charge injection in switched-current circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (1) ![In: Proceedings of the 2004 International Symposium on Circuits and Systems, ISCAS 2004, Vancouver, BC, Canada, May 23-26, 2004, pp. 905-908, 2004, IEEE, 0-7803-8251-X. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Takao Hinamoto, Hiroaki Ohnishi, Wu-Sheng Lu |
Joint optimization of error feedback and coordinate transformation for roundoff noise minimization in 2D state-space digital filters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (3) ![In: Proceedings of the 2004 International Symposium on Circuits and Systems, ISCAS 2004, Vancouver, BC, Canada, May 23-26, 2004, pp. 141-144, 2004, IEEE, 0-7803-8251-X. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Andrew Lim 0001, Brian Rodrigues, Fei Xiao 0001 |
A Centroid-Based Approach to Solve the Bandwidth Minimization Problem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS ![In: 37th Hawaii International Conference on System Sciences (HICSS-37 2004), CD-ROM / Abstracts Proceedings, 5-8 January 2004, Big Island, HI, USA, 2004, IEEE Computer Society, 0-7695-2056-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
heuristics, bandwidth, sparse matrices |
12 | Ravi Bansal, Lawrence H. Staib, Bradley S. Peterson |
Correcting Nonuniformities in MRI Intensities Using Entropy Minimization Based on an Elastic Model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICCAI (1) ![In: Medical Image Computing and Computer-Assisted Intervention -- MICCAI 2004, 7th International Conference Saint-Malo, France, September 26-29, 2004, Proceedings, Part I, pp. 78-86, 2004, Springer, 3-540-22976-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
Entropy, Partial Differential Equation, Expectation Maximization (EM), Elastic Deformation |
12 | Chun Hung Li, Zhi-Li Wu |
Spectral Energy Minimization for Semi-supervised Learning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PAKDD ![In: Advances in Knowledge Discovery and Data Mining, 8th Pacific-Asia Conference, PAKDD 2004, Sydney, Australia, May 26-28, 2004, Proceedings, pp. 13-21, 2004, Springer, 3-540-22064-X. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | DaeEun Kim |
Structural Risk Minimization on Decision Trees Using an Evolutionary Multiobjective Optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EuroGP ![In: Genetic Programming, 7th European Conference, EuroGP2004, Coimbra, Portugal, April 5-7, 2004, Proceedings, pp. 338-348, 2004, Springer, 3-540-21346-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | P. Subrahmanya, R. Manimegalai, V. Kamakoti 0001, Madhu Mutyam |
A Bus Encoding Technique for Power and Cross-talk Minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 17th International Conference on VLSI Design (VLSI Design 2004), with the 3rd International Conference on Embedded Systems Design, 5-9 January 2004, Mumbai, India, pp. 443-448, 2004, IEEE Computer Society, 0-7695-2072-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
Cross-talk, Limited Weight Codes, Transition Signalling, Encoding techniques, memoryless bus encoding, pipelining, Low Power Design |
12 | Stelian Alupoaei, Srinivas Katkoori |
Energy Model Based Macrocell Placement for Wirelength Minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 17th International Conference on VLSI Design (VLSI Design 2004), with the 3rd International Conference on Embedded Systems Design, 5-9 January 2004, Mumbai, India, pp. 713-716, 2004, IEEE Computer Society, 0-7695-2072-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Saraju P. Mohanty, Nagarajan Ranganathan, Sunil K. Chappidi |
ILP Models for Energy and Transient Power Minimization During Behavioral Synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 17th International Conference on VLSI Design (VLSI Design 2004), with the 3rd International Conference on Embedded Systems Design, 5-9 January 2004, Mumbai, India, pp. 745-748, 2004, IEEE Computer Society, 0-7695-2072-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Andreas Christmann |
On a Combination of Convex Risk Minimization Methods. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GfKl ![In: Classification - the Ubiquitous Challenge, Proceedings of the 28th Annual Conference of the Gesellschaft für Klassifikation e.V., University of Dortmund, March 9-11, 2004, pp. 434-441, 2004, Springer, 978-3-540-25677-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Jie Tang 0001, Juan-Zi Li, Kehong Wang, Yue-Ru Cai |
Loss Minimization Based Keyword Distillation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APWeb ![In: Advanced Web Technologies and Applications, 6th Asia-Pacific Web Conference, APWeb 2004, Hangzhou, China, April 14-17, 2004, Proceedings, pp. 572-577, 2004, Springer, 3-540-21371-6. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Zhihua Zhang, James T. Kwok, Dit-Yan Yeung |
Surrogate maximization/minimization algorithms for AdaBoost and the logistic regression model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICML ![In: Machine Learning, Proceedings of the Twenty-first International Conference (ICML 2004), Banff, Alberta, Canada, July 4-8, 2004, 2004, ACM. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Kai Wang 0011, Malgorzata Marek-Sadowska |
Buffer sizing for clock power minimization subject to general skew constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 41th Design Automation Conference, DAC 2004, San Diego, CA, USA, June 7-11, 2004, pp. 159-164, 2004, ACM, 1-58113-828-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
sequential linear programming, sizing, clock skew scheduling |
12 | Adolfo Martínez Usó, Filiberto Pla, Pedro García-Sevilla |
Color Image Segmentation Using Energy Minimization on a Quadtree Representation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIAR (1) ![In: Image Analysis and Recognition: International Conference, ICIAR 2004, Porto, Portugal, September 29-October 1, 2004, Proceedings, Part II, pp. 25-32, 2004, Springer, 3-540-23240-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Cliff C. N. Sze, Ting-Chi Wang |
Optimal circuit clustering for delay minimization under a more general delay model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 22(5), pp. 646-651, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
12 | Dexuan Xie, Suresh B. Singh, Eugene M. Fluder |
Principal component analysis combined with truncated-Newton minimization for dimensionality reduction of chemical databases. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Math. Program. ![In: Math. Program. 95(1), pp. 161-185, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
12 | Monaldo Mastrolilli |
Notes on Max Flow Time Minimization with Controllable Processing Times. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computing ![In: Computing 71(4), pp. 375-386, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
Scheduling, approximation algorithms, parallel machines, controllable processing times |
12 | Junhwan Kim, Vladimir Kolmogorov, Ramin Zabih |
Visual Correspondence Using Energy Minimization and Mutual Information. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCV ![In: 9th IEEE International Conference on Computer Vision (ICCV 2003), 14-17 October 2003, Nice, France, pp. 1033-1040, 2003, IEEE Computer Society, 0-7695-1950-4. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
12 | Bharath Radhakrishnan, Muthukumar Venkatesan |
Multiple Voltage and Frequency Scheduling for Power Minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSD ![In: 2003 Euromicro Symposium on Digital Systems Design (DSD 2003), Architectures, Methods and Tools, 3-5 September 2003, Belek-Antalya, Turkey, pp. 279-285, 2003, IEEE Computer Society, 0-7695-2003-0. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
12 | Mahdi Jalili-Kharaajoo |
Fuzzy Logic Based Torque Ripple Minimization in Switched Reluctance Motors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CAEPIA ![In: Current Topics in Artificial Intelligence, 10th Conference of the Spanish Association for Artificial Intelligence, CAEPIA 2003, and 5th Conference on Technology Transfer, TTIA 2003, San Sebastian, Spain, November 12-14, 2003. Revised Selected Papers, pp. 354-363, 2003, Springer, 3-540-22218-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
12 | Periklis Andritsos, Vassilios Tzerpos |
Software Clustering based on Information Loss Minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WCRE ![In: 10th Working Conference on Reverse Engineering, WCRE 2003, Victoria, Canada, November 13-16, 2003, pp. 334-344, 2003, IEEE Computer Society, 0-7695-2027-8. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
12 | Gert Jervan, Petru Eles, Zebo Peng, Raimund Ubar, Maksim Jenihhin |
Test Time Minimization for Hybrid BIST of Core-Based Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 12th Asian Test Symposium (ATS 2003), 17-19 November 2003, Xian, China, pp. 318-325, 2003, IEEE Computer Society, 0-7695-1951-2. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
12 | Bala Ravikumar |
Weak Minimization of DFA - An Algorithm and Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CIAA ![In: Implementation and Application of Automata, 8th International Conference, CIAA 2003, Santa Barbara, California, USA, July 16-18, 2003, Proceedings, pp. 226-238, 2003, Springer, 3-540-40561-5. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
12 | Dragan Gamberger, Nada Lavrac |
Analysis of Gene Expression Data by the Logic Minimization Approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AIME ![In: Artificial Intelligence in Medicine, 9th Conference on Artificial Intelligence in Medicine in Europe, AIME 2003, Protaras, Cyprus, October 18-22, 2003, Proceedings, pp. 244-248, 2003, Springer, 3-540-20129-7. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
12 | Qingfeng Zhuge, Zili Shao, Bin Xiao 0001, Edwin Hsing-Mean Sha |
Design space minimization with timing and code size optimization for embedded DSP. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 1st IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2003, Newport Beach, CA, USA, October 1-3, 2003, pp. 144-149, 2003, ACM, 1-58113-742-7. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
retiming, unfolding, code size reduction, DSP processors |
12 | Junhyung Um, Taewhan Kim |
Code Placement with Selective Cache Activity Minimization for Embedded Real-time Software Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2003 International Conference on Computer-Aided Design, ICCAD 2003, San Jose, CA, USA, November 9-13, 2003, pp. 197-200, 2003, IEEE Computer Society / ACM, 1-58113-762-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
12 | Andrew Lim 0001, Brian Rodrigues, Fei Xiao 0001 |
Integrated Genetic Algorithm with Hill Climbing for Bandwidth Minimization Problem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GECCO ![In: Genetic and Evolutionary Computation - GECCO 2003, Genetic and Evolutionary Computation Conference, Chicago, IL, USA, July 12-16, 2003. Proceedings, Part II, pp. 1594-1595, 2003, Springer, 3-540-40603-4. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
12 | Vida Dujmovic, Henning Fernau, Michael Kaufmann 0001 |
Fixed Parameter Algorithms for one-sided crossing minimization Revisited. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GD ![In: Graph Drawing, 11th International Symposium, GD 2003, Perugia, Italy, September 21-24, 2003, Revised Papers, pp. 332-344, 2003, Springer, 3-540-20831-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
12 | Denis V. Popel, Rolf Drechsler |
Efficient Minimization of Multiple-valued Decision Diagrams for Incompletely Specified Functions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISMVL ![In: 33rd IEEE International Symposium on Multiple-Valued Logic (ISMVL 2003), 16-19 May 2003, Tokyo, Japan, pp. 241-246, 2003, IEEE Computer Society, 0-7695-1918-0. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
12 | David Nguyen, Abhijit Davare, Michael Orshansky, David G. Chinnery, Brandon Thompson, Kurt Keutzer |
Minimization of dynamic and static power through joint assignment of threshold voltages and sizing optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003, Seoul, Korea, August 25-27, 2003, pp. 158-163, 2003, ACM, 1-58113-682-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
dual threshold, sizing, dual supply voltage, simultaneous |
12 | Zhu Liang Yu, Meng Hwa Er |
Robust beamformer design by power minimization and its unconstrained partitioned implementation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (4) ![In: Proceedings of the 2003 International Symposium on Circuits and Systems, ISCAS 2003, Bangkok, Thailand, May 25-28, 2003, pp. 29-32, 2003, IEEE, 0-7803-7761-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
12 | Qingfeng Zhuge, Edwin Hsing-Mean Sha, Chantana Chantrapornchai |
An Integrated Framework of Design Optimization and Space Minimization for DSP applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (5) ![In: Proceedings of the 2003 International Symposium on Circuits and Systems, ISCAS 2003, Bangkok, Thailand, May 25-28, 2003, pp. 601-604, 2003, IEEE, 0-7803-7761-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
12 | Rüdiger Ebendt |
Reducing the number of variable movements in exact BDD minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (5) ![In: Proceedings of the 2003 International Symposium on Circuits and Systems, ISCAS 2003, Bangkok, Thailand, May 25-28, 2003, pp. 605-608, 2003, IEEE, 0-7803-7761-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
12 | Takao Hinamoto, Keisuke Higashi, Wu-Sheng Lu |
Roundoff noise minimization in two-dimensional state-space digital filters using error feedback. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (4) ![In: Proceedings of the 2003 International Symposium on Circuits and Systems, ISCAS 2003, Bangkok, Thailand, May 25-28, 2003, pp. 472-475, 2003, IEEE, 0-7803-7761-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
12 | Saraju P. Mohanty, N. Ranganathan, Sunil K. Chappidi |
Simultaneous peak and average power minimization during datapath scheduling for DSP processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 13th ACM Great Lakes Symposium on VLSI 2003, Washington, DC, USA, April 28-29, 2003, pp. 215-220, 2003, ACM, 1-58113-677-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
average power, datapath scheduling, dynamic frequency clocking, high-level synthesis, peak power, multiple voltages |
12 | Nadine Gergel, Shana Craft, John C. Lach |
Modeling QCA for area minimization in logic synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 13th ACM Great Lakes Symposium on VLSI 2003, Washington, DC, USA, April 28-29, 2003, pp. 60-63, 2003, ACM, 1-58113-677-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
CAD, interconnect, logic synthesis, nanotechnology, QCA |
12 | Gert Jervan, Petru Eles, Zebo Peng, Raimund Ubar, Maksim Jenihhin |
Hybrid BIST Time Minimization for Core-Based Systems with STUMPS Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFT ![In: 18th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2003), 3-5 November 2003, Boston, MA, USA, Proceedings, pp. 225-, 2003, IEEE Computer Society, 0-7695-2042-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
12 | Glenn Fung |
The disputed federalist papers: SVM feature selection via concave minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Richard Tapia Celebration of Diversity in Computing Conference ![In: Proceedings of the Richard Tapia Celebration of Diversity in Computing Conference 2003, Atlanta, Georgia, USA, October 15-18, 2003, pp. 42-46, 2003, ACM. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
support vector machines, text classification |
|
|