The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for multiprocessor with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1961-1971 (15) 1972-1973 (17) 1974-1975 (20) 1976-1977 (40) 1978 (20) 1979 (20) 1980 (29) 1981 (39) 1982 (59) 1983 (53) 1984 (53) 1985 (81) 1986 (98) 1987 (129) 1988 (211) 1989 (197) 1990 (266) 1991 (211) 1992 (242) 1993 (212) 1994 (254) 1995 (246) 1996 (215) 1997 (224) 1998 (141) 1999 (210) 2000 (231) 2001 (202) 2002 (185) 2003 (253) 2004 (245) 2005 (339) 2006 (398) 2007 (417) 2008 (394) 2009 (311) 2010 (210) 2011 (188) 2012 (125) 2013 (132) 2014 (136) 2015 (101) 2016 (101) 2017 (84) 2018 (62) 2019 (71) 2020 (68) 2021 (55) 2022 (59) 2023 (37) 2024 (7)
Publication types (Num. hits)
article(2710) book(12) incollection(58) inproceedings(4758) phdthesis(175)
Venues (Conferences, Journals, ...)
IEEE Trans. Parallel Distribut...(321) IEEE Trans. Computers(317) IPDPS(136) ISCA(135) ICPP(99) DATE(96) CoRR(81) DAC(81) IPPS(78) ECRTS(75) ICS(73) Parallel Comput.(72) RTSS(71) SIGMETRICS(68) Euro-Par(67) RTCSA(63) More (+10 of total 1221)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 8783 occurrences of 2559 keywords

Results
Found 7713 publication records. Showing 7713 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
15Fong Pong, Nian-Feng Tzeng, Koray Öner, Chun Ning, Kwong-Tak Chui, Manoj Ekbote, Yanping Lu Communication performance of a modular high-bandwidth multiprocessor system. Search on Bibsonomy ICPADS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Hennadiy Leontyev, James H. Anderson Generalized Tardiness Bounds for Global Multiprocessor Scheduling. Search on Bibsonomy RTSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Xiaohong Kong, Jun Sun 0008, Bin Ye, Wenbo Xu 0001 An Efficient Quantum-Behaved Particle Swarm Optimization for Multiprocessor Scheduling. Search on Bibsonomy International Conference on Computational Science (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Zhe Ma, Daniele Paolo Scarpazza, Francky Catthoor Run-time Task Overlapping on Multiprocessor Platforms. Search on Bibsonomy ESTIMedia The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Jugash Chandarlapati, Mainak Chaudhuri LEMap: Controlling leakage in large chip-multiprocessor caches via profile-guided virtual address translation. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Jörg Dümmler, Thomas Rauber, Gudula Rünger Communicating Multiprocessor-Tasks. Search on Bibsonomy LCPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Étienne Ogoubi, David Pouliot, Marcel Turcotte, Abdelhakim Hafid Parallel Multiprocessor Approaches to the RNA Folding Problem. Search on Bibsonomy PPAM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF message passing, folding, parallel computer architecture, secondary structure, RNA
15Yufeng Xie, Leibo Liu, Rui Dai, Shaojun Wei Battery-Aware Variable Voltage Scheduling on Real-Time Multiprocessor Platforms. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Guichang Zhong, Alan N. Willson Jr. An Energy-efficient Reconfigurable Viterbi Decoder on a Programmable Multiprocessor. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Philippe Bergheaud, Dinesh Subhraveti, Marc Vertes Fault Tolerance in Multiprocessor Systems Via Application Cloning. Search on Bibsonomy ICDCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Theodore P. Baker, Michele Cirinei Brute-Force Determination of Multiprocessor Schedulability for Sets of Sporadic Hard-Deadline Tasks. Search on Bibsonomy OPODIS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Antonino Tumeo, Matteo Monchiero, Gianluca Palermo, Fabrizio Ferrandi, Donatella Sciuto A design kit for a fully working shared memory multiprocessor on FPGA. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FPGA, shared memory, programming model, embedded computing, reconfigurable logic
15YuHai Yang, Shengsheng Yu, XueLian Bin A New Dynamic Scheduling Algorithm for Real-Time Heterogeneous Multiprocessor Systems. Search on Bibsonomy IITA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Manika Govil, Alberto M. Segre, Veronica J. Vieland MLIP: A Multiprocessor Linkage Analysis System. Search on Bibsonomy IMSCCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Mitsunori Kubo, Baoliu Ye, Arata Shinozaki, Minyi Guo UMP-PerComp: A Ubiquitous Multiprocessor Network-Based Pipeline Processing Framework for Pervasive Computing Environments. Search on Bibsonomy AINA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Stanley P. Y. Fung, Chung Keung Poon, Feifeng Zheng Online Interval Scheduling: Randomized and Multiprocessor Cases. Search on Bibsonomy COCOON The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Sander Stuijk, Twan Basten, Marc Geilen, Henk Corporaal Multiprocessor Resource Allocation for Throughput-Constrained Synchronous Dataflow Graphs. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Oleg Petlin, Wilson Snyder Functional Verification of SiCortex Multiprocessor System-on-a-Chip. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Da-Ren Chen, Yu-Chun Chu, Chiun-Chieh Hsu Multiprocessor Scheduling for Distance-Constrained Task Systems. Search on Bibsonomy PDCAT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15R. M. Muthukumar, D. Janakiram Yama: A Scalable Generational Garbage Collector for Java in Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF on-the-fly garbage collection, Java, programming languages, Garbage collection, memory management, Java virtual machine
15Tero Kangas, Petri Kukkala, Heikki Orsila, Erno Salminen, Marko Hännikäinen, Timo D. Hämäläinen, Jouni Riihimäki, Kimmo Kuusilinna UML-based multiprocessor SoC design framework. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF design flow, architecture exploration, UML 2.0
15Mikko Setälä, Petri Kukkala, Tero Arpinen, Marko Hännikäinen, Timo D. Hämäläinen Automated Distribution of UML 2.0 Designed Applications to a Configurable Multiprocessor Platform. Search on Bibsonomy SAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15T. Srinivasan 0001, M. Sandhya, N. Srikrishna An Efficient Parallel IP Lookup Technique using CREW Based Multiprocessor Organization. Search on Bibsonomy CNSR The full citation details ... 2006 DBLP  DOI  BibTeX  RDF High performance routing, Parallel Algorithm, IP Address Lookup
15Mehmet Derin Harmanci, Nuria Pazos, Paolo Ienne, Yusuf Leblebici A Predictable Communication Scheme for Embedded Multiprocessor Systems. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Ravindra V. Kshirsagar, Rajendra M. Patrikar Design of a Reconfigurable Multiprocessor Core for Higher Performance and Reliability of Embedded Systems. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Manuel Saldaña, Lesley Shannon, Paul Chow The routability of multiprocessor network topologies in FPGAs. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Tero Arpinen, Petri Kukkala, Erno Salminen, Marko Hännikäinen, Timo D. Hämäläinen Configurable multiprocessor platform with RTOS for distributed execution of UML 2.0 designed applications. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Dipankar Das 0002, Rajeev Kumar 0004, P. P. Chakrabarti 0001 Timing Verification of UML Activity Diagram Based Code Block Level Models for Real Time Multiprocessor System-on-Chip Applications. Search on Bibsonomy APSEC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Irene Zuccar, Mauricio Solar, Fernanda Kri, Víctor Parada A Shared-Memory Multiprocessor Scheduling Algorithm. Search on Bibsonomy IFIP PPAI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Gregory Buehrer, Yen-Kuang Chen, Srinivasan Parthasarathy 0001, Anthony D. Nguyen, Amol Ghoting, Daehyun Kim 0001 Efficient pattern mining on shared memory systems: implications for chip multiprocessor architectures. Search on Bibsonomy Memory System Performance and Correctness The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Moo-Kyoung Chung, Chong-Min Kyung Improving Lookahead in Parallel Multiprocessor Simulation Using Dynamic Execution Path Prediction. Search on Bibsonomy PADS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Vandy Berten, Joël Goossens, Emmanuel Jeannot A probabilistic approach for fault tolerant multiprocessor real-time scheduling. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Changhee Jung, Daeseob Lim, Jaejin Lee, Yan Solihin Helper thread prefetching for loosely-coupled multiprocessor systems. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Seng Lin Shee, Andrea Erdos, Sri Parameswaran Heterogeneous multiprocessor implementations for JPEG: : a case study. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Suri Pushpa, Prasad Vinod, Carsten Maple Creating a Forest of Binary Search Trees for a Multiprocessor System. Search on Bibsonomy PARELEC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Awni Itradat, M. Omair Ahmad, Ali M. Shatnawi A Delay-Optimal Static Scheduling of DSP Applications Mapped onto Multiprocessor Architectures. Search on Bibsonomy PARELEC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Chewoo Na, Hyeonjoong Cho, Binoy Ravindran, E. Douglas Jensen Garbage Collector Scheduling in Dynamic, Multiprocessor Real-Time Systems. Search on Bibsonomy RTCSA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Björn Andersson, Eduardo Tovar Multiprocessor Scheduling with Few Preemptions. Search on Bibsonomy RTCSA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Haixia Wang 0001, Dongsheng Wang 0002, Peng Li 0031 Acceleration Techniques for Chip-Multiprocessor Simulator Debug. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Aaron Block, James H. Anderson Accuracy versus Migration Overhead in Real-Time Multiprocessor Reweighting Algorithms. Search on Bibsonomy ICPADS (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15John M. Calandrino, Hennadiy Leontyev, Aaron Block, UmaMaheswari C. Devi, James H. Anderson LITMUS^RT : A Testbed for Empirically Comparing Real-Time Multiprocessor Schedulers. Search on Bibsonomy RTSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15George A. Gravvanis, Konstantinos M. Giannoutakis Parallel Exact and Approximate Arrow-Type Inverses on Symmetric Multiprocessor Systems. Search on Bibsonomy International Conference on Computational Science (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Egor Bondarev, Michel R. V. Chaudron, Peter H. N. de With Compositional Performance Analysis of Component-Based Systems on Heterogeneous Multiprocessor Platforms. Search on Bibsonomy EUROMICRO-SEAA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Daewook Kim, Manho Kim, Gerald E. Sobelman DCOS: cache embedded switch architecture for distributed shared memory multiprocessor SoCs. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Sangchul Han, Minkyu Park Predictability of Least Laxity First Scheduling Algorithm on Multiprocessor Real-Time Systems. Search on Bibsonomy EUC Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Pengyong Ma, Xiao Hu, Shuming Chen, Yang Guo Pseudo Share Data Cache in Multiprocessor: PSDMP. Search on Bibsonomy ISPA Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Xiao Hu, Pengyong Ma, Shuming Chen, Yang Guo, Xing Fang TraceDo: An On-Chip Trace System for Real-Time Debug and Optimization in Multiprocessor SoC. Search on Bibsonomy ISPA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Hakem Beitollahi, Geert Deconinck Fault-Tolerant Partitioning Scheduling Algorithms in Real-Time Multiprocessor Systems. Search on Bibsonomy PRDC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Andreas Merkel, Frank Bellosa Balancing power consumption in multiprocessor systems. Search on Bibsonomy EuroSys The full citation details ... 2006 DBLP  DOI  BibTeX  RDF event counters, thermal management, energy estimation, task migration, energy-aware scheduling
15Abdennour El Rhalibi, Madjid Merabti, Yuanyuan Shen Improving Game Processing in Multithreading and Multiprocessor Architecture. Search on Bibsonomy Edutainment The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Ruey-Maw Chen, Shih-Tang Lo, Yueh-Min Huang Solving Multiprocessor Real-Time System Scheduling with Enhanced Competitive Scheme. Search on Bibsonomy ICONIP (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Slack neuron, Scheduling, Hopfield neural network, Competitive learning
15Hisashige Ando, Nestoras Tzartzanis, William W. Walker A Case Study: Power and Performance Improvement of a Chip Multiprocessor for Transaction Processing. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Constantine Katsinis Block Migration in Broadcast-based Multiprocessor Architectures. Search on Bibsonomy NCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Kyriakos Stavrou, Paraskevas Evripidou, Pedro Trancoso DDM-CMP: Data-Driven Multithreading on a Chip Multiprocessor. Search on Bibsonomy SAMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Jürgen Stohr, Alexander von Bülow, Georg Färber Bounding Worst-Case Access Times in Modern Multiprocessor Systems. Search on Bibsonomy ECRTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Chuan-Yue Yang, Jian-Jia Chen, Tei-Wei Kuo An Approximation Algorithm for Energy-Efficient Scheduling on A Chip Multiprocessor. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Oreste Villa, Patrick Schaumont, Ingrid Verbauwhede, Matteo Monchiero, Gianluca Palermo Fast Dynamic Memory Integration in Co-Simulation Frameworks for Multiprocessor System on-Chip. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Nikolay Kavaldjiev, Gerard J. M. Smit, Pierre G. Jansen Throughput of Streaming Applications Running on a Multiprocessor Architecture. Search on Bibsonomy DSD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Sander Stuijk, Twan Basten, Bart Mesman, Marc Geilen Predictable embedding of large data structures in multiprocessor networks-on-chip. Search on Bibsonomy DSD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Liang-Yu Lin, Cheng-Yeh Wang, Pao-Jui Huang, Chih-Chieh Chou, Jing-Yang Jou Communication-driven task binding for multiprocessor with latency insensitive network-on-chip. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Francisco J. Villa, Manuel E. Acacio, José M. García 0001 Memory Subsystem Characterization in a 16-Core Snoop-Based Chip-Multiprocessor Architecture. Search on Bibsonomy HPCC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Weidong Shi, Hsien-Hsin S. Lee, Guofei Gu, Laura Falk, Trevor N. Mudge, Mrinmoy Ghosh An Intrusion-Tolerant and Self-Recoverable Network Service System Using A Security Enhanced Chip Multiprocessor. Search on Bibsonomy ICAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Intrusion-tolerant computing, survivable service, buffer overflow, self-healing, rootkits, chip multi processor
15Michael Hübner 0001, Katarina Paulsson, Jürgen Becker 0001 Parallel and Flexible Multiprocessor System-On-Chip for Adaptive Automotive Applications based on Xilinx MicroBlaze Soft-Cores. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Gary Gostin, Jean-Francois Collard, Kirby Collins The architecture of the HP Superdome shared-memory multiprocessor. Search on Bibsonomy ICS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Kaouther Abrougui, Mourad Elhadef Parallel Self-Diagnosis of Large Multiprocessor Systems Under the Generalized Comparison Model. Search on Bibsonomy ICPADS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Ali El-Haj-Mahmoud, Ahmed S. Al-Zawawi, Aravindh Anantaraman, Eric Rotenberg Virtual multiprocessor: an analyzable, high-performance architecture for real-time computing. Search on Bibsonomy CASES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF worst-case execution time, schedulability analysis, superscalar processor, simultaneous multithreading, hard real-time, resource partitioning
15Ghulam Qader, M. Younus Javed Simulation of Resolution of CS Problem for Multiple Common Variables in Multiprocessor Environment. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Khaled Z. Ibrahim Correlation between Detailed and Simplified Simulations in Studying Multiprocessor Architecture. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Orlando Moreira, Jan David Mol, Marco Bekooij, Jef L. van Meerbergen Multiprocessor Resource Allocation for Hard-Real-Time Streaming with a Dynamic Job-Mix. Search on Bibsonomy IEEE Real-Time and Embedded Technology and Applications Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Erno Salminen, Ari Kulmala, Timo D. Hämäläinen HIBI-based multiprocessor SoC on FPGA. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Nathan Fisher, Sanjoy K. Baruah The Partitioned, Static-Priority Scheduling of Sporadic Real-Time Tasks with Constrained Deadlines on Multiprocessor Platforms. Search on Bibsonomy OPODIS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Muhammet Fikret Ercan Scheduling Pipelined Multiprocessor Tasks: An Experimental Study with Vision Architecture. Search on Bibsonomy ICCSA (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Samarjit Chakraborty Towards a Framework for System-Level Design of Multiprocessor SoC Platforms for Media Processing. Search on Bibsonomy ASAP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Biplab Kumer Sarker, Toshiya Hirata, Kuniaki Uehara, Virendra C. Bhavsar Mining Association Rules from Multi-stream Time Series Data on Multiprocessor Systems. Search on Bibsonomy ISPA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Daniel Quadt A Hierarchical Production Planning Approach for Multiprocessor Flow Shops. Search on Bibsonomy OR The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Samy Meftali, Jean-Luc Dekeyser, Isaac D. Scherson Scalable Multistage Network for Multiprocessor System-on-Chip Design. Search on Bibsonomy ISPAN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Jason F. Cantin, Mikko H. Lipasti, James E. Smith 0001 Improving Multiprocessor Performance with Coarse-Grain Coherence Tracking. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Seungbeom Lee, Sin-Chong Park Transaction Analysis of Multiprocessor Based Platform with Bus Matrix. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Patrick Schaumont, Bo-Cheng Charles Lai, Wei Qin, Ingrid Verbauwhede Cooperative multithreading on 3mbedded multiprocessor architectures enables energy-scalable design. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Frode Eika Sandnes, Oliver Sinnen, Yo-Ping Huang Gracefully Degrading Battery-Aware Static Multiprocessor Schedules Based on Symmetric Task Fusion. Search on Bibsonomy PDCAT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Taeweon Suh, Hsien-Hsin S. Lee, Douglas M. Blough Integrating Cache Coherence Protocols for Heterogeneous Multiprocessor Systems, Part 1. Search on Bibsonomy IEEE Micro The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Taeweon Suh, Hsien-Hsin S. Lee, Douglas M. Blough Integrating Cache Coherence Protocols for Heterogeneous Multiprocessor Systems, Part 2. Search on Bibsonomy IEEE Micro The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Stavros Souravlas, Manos Roumeliotis A Pipeline Technique for Dynamic Data Transfer on a Multiprocessor Grid. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Block-cyclic redistribution, processor classes, pipeline tasks, High Performance Fortran
15Daehyun Kim, Mainak Chaudhuri, Mark A. Heinrich, Evan Speight Architectural Support for Uniprocessor and Multiprocessor Active Memory Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Active memory systems, address remapping, flexible memory controller architecture, distributed shared memory, cache coherence protocol
15Annie S. Wu, Han Yu 0003, Shiyuan Jin, Kuo-Chi Lin, Guy A. Schiavone An Incremental Genetic Algorithm Approach to Multiprocessor Scheduling. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Genetic algorithm, parallel processing, task scheduling
15Seongbeom Kim, Dhruba Chandra, Yan Solihin Fair Cache Sharing and Partitioning in a Chip Multiprocessor Architecture. Search on Bibsonomy IEEE PACT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Weidong Shi, Hsien-Hsin S. Lee, Mrinmoy Ghosh, Chenghuai Lu Architectural Support for High Speed Protection of Memory Integrity and Confidentiality in Multiprocessor Systems. Search on Bibsonomy IEEE PACT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Sanjoy K. Baruah, Giuseppe Lipari Executing Aperiodic Jobs in a Multiprocessor Constant-Bandwidth Server Implementation. Search on Bibsonomy ECRTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Krishnan Srinivasan, Nagender Telkar, Vijay Ramamurthi, Karam S. Chatha System-Level Design Techniques for Throughput and Power Optimization of Multiprocessor SoC Architectures. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Taeweon Suh, Douglas M. Blough, Hsien-Hsin S. Lee Supporting Cache Coherence in Heterogeneous Multiprocessor Systems. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Matthias Grünewald, Jörg-Christian Niemann, Mario Porrmann, Ulrich Rückert 0001 A Mapping Strategy for Resource-Efficient Network Processing on Multiprocessor SoC. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Jari Kreku, Jani Penttilä, Janne Kangas, Juha-Pekka Soininen Workload Simulation Method for Evaluation of Application Feasibility in a Mobile Multiprocessor Platform. Search on Bibsonomy DSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Andreas Larsson, Anders Gidenstam, Phuong Hoai Ha, Marina Papatriantafilou, Philippas Tsigas Multi-word Atomic Read/Write Registers on Multiprocessor Systems. Search on Bibsonomy ESA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Marco Bekooij, Orlando Moreira, Peter Poplavko, Bart Mesman, Milan Pastrnak, Jef L. van Meerbergen Predictable Embedded Multiprocessor System Design. Search on Bibsonomy SCOPES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Armando Astarloa, Jesús Lázaro 0001, Unai Bidarte, José Luis Martín 0001, Aitzol Zuloaga A Self-Reconfiguration Framework for Multiprocessor CSoPCs. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Saifeddine Berrayana, Etienne Faure, Daniela Genius, Frédéric Pétrot Modular On-chip Multiprocessor for Routing Applications. Search on Bibsonomy Euro-Par The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Sanjoy K. Baruah Cost Efficient Synthesis of Real-Time Systems upon Heterogeneous Multiprocessor Platforms. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Embedded systems, Approximation algorithms, Multiprocessors, Periodic tasks
15Raymond Hoare, Shen Chih Tung, Katrina Werger An 88-Way Multiprocessor within an FPGA with Customizable Instructions. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA, Architecture, Parallelism, DSP, SIMD
15Daniel J. Sorin, Milo M. K. Martin, Mark D. Hill, David A. Wood 0001 Using Speculation to Simplify Multiprocessor Design. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Jun Wu 0010, Jian-Jia Chen, Chih-wen Hsueh, Tei-Wei Kuo Scheduling of Query Execution Plans in Symmetric Multiprocessor Database Systems. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
Displaying result #801 - #900 of 7713 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license