The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for multiprocessors with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1966-1977 (16) 1978-1982 (24) 1983-1984 (32) 1985 (19) 1986 (35) 1987 (38) 1988 (71) 1989 (92) 1990 (117) 1991 (129) 1992 (132) 1993 (128) 1994 (151) 1995 (163) 1996 (138) 1997 (158) 1998 (101) 1999 (144) 2000 (120) 2001 (86) 2002 (85) 2003 (110) 2004 (116) 2005 (162) 2006 (163) 2007 (190) 2008 (185) 2009 (158) 2010 (139) 2011 (97) 2012 (59) 2013 (57) 2014 (72) 2015 (48) 2016 (39) 2017 (43) 2018 (29) 2019 (25) 2020 (22) 2021-2022 (21) 2023-2024 (9)
Publication types (Num. hits)
article(1129) book(3) incollection(15) inproceedings(2474) phdthesis(101) proceedings(1)
Venues (Conferences, Journals, ...)
IEEE Trans. Parallel Distribut...(162) IEEE Trans. Computers(132) ISCA(98) IPDPS(95) HPCA(73) ICPP(73) J. Parallel Distributed Comput...(70) SC(61) ICS(54) Euro-Par(52) IPPS(50) ICPP (1)(45) PACT(45) SIGMETRICS(43) DAC(40) Parallel Comput.(39) More (+10 of total 632)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 4193 occurrences of 1488 keywords

Results
Found 3723 publication records. Showing 3723 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
12Saravanan Ramanathan, Arvind Easwaran Mixed-Criticality Scheduling on Multiprocessors with Service Guarantees. Search on Bibsonomy ISORC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
12Risat Mahmud Pathan Improving the Schedulability and Quality of Service for Federated Scheduling of Parallel Mixed-Criticality Tasks on Multiprocessors. Search on Bibsonomy ECRTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
12Kathrin Rosvall, Tage Mohammadat, George Ungureanu, Johnny Öberg, Ingo Sander Exploring Power and Throughput for Dataflow Applications on Predictable NoC Multiprocessors. Search on Bibsonomy DSD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
12Baisakhi Das, Mamata Dalui, Anupama Mondal, Salma Mandi, Nilanjana Das, Biplab K. Sikdar Evaluation of Misspeculation Impact on Chip-Multiprocessors Power Overhead. Search on Bibsonomy ICSCA The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
12Brvan Donvanavard, Amir Mahdi Hosseini Monazzah, Nikil D. Dutt, Tiago Mück Exploring Hybrid Memory Caches in Chip Multiprocessors. Search on Bibsonomy ReCoSoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
12Aniseh Dorostkar, Arghavan Asad, Mahmood Fathy, Farah Mohammadi 0001 Optimization-based reconfigurable approach for low-power 3D chip-multiprocessors. Search on Bibsonomy LASCAS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
12Zheng Dong 0002, Cong Liu 0005 Work-in-Progress: New Analysis Techniques for Supporting Hard Real-Time Sporadic DAG Task Systems on Multiprocessors. Search on Bibsonomy RTSS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
12Pooneh Safayenikoo, Arghavan Asad, Mahmood Fathy, Farah Mohammadi 0001 NIZCache: Energy-efficient Non-uniform Cache Architecture for Chip-multiprocessors Based on Invalid and Zero Lines. Search on Bibsonomy ISCAS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
12Pooneh Safayenikoo, Arghavan Asad, Farah Mohammadi 0001 An Energy-Efficient Cache Architecture for Chip-Multiprocessors Based on Non-Uniformity Accesses. Search on Bibsonomy CCECE The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
12Alankar V. Umdekar, Arijit Nath, Shirshendu Das, Hemangee K. Kapoor Dynamic Thermal Management by Using Task Migration in Conjunction with Frequency Scaling for Chip Multiprocessors. Search on Bibsonomy VLSID The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
12Tiago Rogério Mück Reflective On-Chip Resource Management Policies for Energy-Efficient Heterogeneous Multiprocessors. Search on Bibsonomy 2018   RDF
12Venkata Yaswanth Raparti, Nishit Ashok Kapadia, Sudeep Pasricha ARTEMIS: An Aging-Aware Runtime Application Mapping Framework for 3D NoC-Based Chip Multiprocessors. Search on Bibsonomy IEEE Trans. Multi Scale Comput. Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
12Juan M. Cebrian, Ricardo Fernández Pascual, Alexandra Jimborean, Manuel E. Acacio, Alberto Ros 0001 A dedicated private-shared cache design for scalable multiprocessors. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
12Sumeet S. Kumar, Amir Zjajo, René van Leuken 0001 Fighting Dark Silicon: Toward Realizing Efficient Thermal-Aware 3-D Stacked Multiprocessors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
12Akram Reza, Mahnaz Rafie Limited contiguous processor allocation mechanism in the mesh-connected multiprocessors using compaction. Search on Bibsonomy J. Supercomput. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
12Victor Garcia, Alejandro Rico, Carlos Villavieja, Paul M. Carpenter, Nacho Navarro, Alex Ramírez Adaptive Runtime-Assisted Block Prefetching on Chip-Multiprocessors. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
12Wei Shu, Nian-Feng Tzeng Compressed Sharer Tracking and Relinquishment Coherence for Superior Directory Efficiency of Chip Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
12Muhammad E. S. Elrabaa, Ayman Hroub, Muhamed F. Mudawar, Amran Al-Aghbari, Mohammed Alasli, Ahmad Khayyat A Very Fast Trace-Driven Simulation Platform for Chip-Multiprocessors Architectural Explorations. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
12Ju Hee Choi, Gi-Ho Park NVM Way Allocation Scheme to Reduce NVM Writes for Hybrid Cache Architecture in Chip-Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
12Shaoming Chen, Samuel Irving, Lu Peng 0001, Yue Hu, Ying Zhang 0016, Ashok Srivastava Using Switchable Pins to Increase Off-Chip Bandwidth in Chip-Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
12Arghavan Asad, Ozcan Ozturk 0001, Mahmood Fathy, Mohammad Reza Jahed-Motlagh Optimization-based power and thermal management for dark silicon aware 3D chip multiprocessors using heterogeneous cache hierarchy. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
12Rajesh Devaraj, Arnab Sarkar, Santosh Biswas Fault-Tolerant Preemptive Aperiodic RT Scheduling by Supervisory Control of TDES on Multiprocessors. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
12Mohaddeseh Hoveida, Fatemeh Aghaaliakbari, Ramin Bashizade, Mohammad Arjomand, Hamid Sarbazi-Azad Efficient Mapping of Applications for Future Chip-Multiprocessors in Dark Silicon Era. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
12Nadezhda A. Ezhova, Leonid B. Sokolinsky Analytical study of the "master-worker" framework scalability on multiprocessors with distributed memory. Search on Bibsonomy CoRR The full citation details ... 2017 DBLP  BibTeX  RDF
12Xu Jiang 0004, Nan Guan, Xiang Long, Wang Yi 0001 Semi-Federated Scheduling of Parallel Real-Time Tasks on Multiprocessors. Search on Bibsonomy CoRR The full citation details ... 2017 DBLP  BibTeX  RDF
12Leonid B. Sokolinsky Analytical Estimation of Scalability of Iterative Numerical Algorithms on Distributed Memory Multiprocessors. Search on Bibsonomy CoRR The full citation details ... 2017 DBLP  BibTeX  RDF
12Moreno Marzolla, Gabriele D'Angelo Parallel Sort-Based Matching for Data Distribution Management on Shared-Memory Multiprocessors. Search on Bibsonomy CoRR The full citation details ... 2017 DBLP  BibTeX  RDF
12Cha V. Li, Vinicius Petrucci, Daniel Mossé Exploring Machine Learning for Thread Characterization on Heterogeneous Multiprocessors. Search on Bibsonomy ACM SIGOPS Oper. Syst. Rev. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
12Ngoc-Son Pham, Youngmin Kim, Kwang-Hyun Baek, Chan-Gun Lee Reduction of task migrations and preemptions in optimal real-time scheduling for multiprocessors by using dynamic T-L plane. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
12Changmin Ahn, Camilo A. Celis Guzman, Bernhard Egger 0002 POSTER: NUMA-Aware Power Management for Chip Multiprocessors. Search on Bibsonomy PACT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
12Risat Mahmud Pathan Improving the Quality-of-Service for Scheduling Mixed-Criticality Systems on Multiprocessors. Search on Bibsonomy ECRTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
12Aniseh Dorostkar, Arghavan Asad, Mahmood Fathy, Farah Mohammadi 0001 Optimal Placement of Heterogeneous Uncore Component in 3D Chip-Multiprocessors. Search on Bibsonomy DSD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
12Amr Abdelhafez, Enrique Alba 0001 Speed-up of synchronous and asynchronous distributed Genetic Algorithms: A first common approach on multiprocessors. Search on Bibsonomy CEC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
12Fatemeh Arezoomand, Arghavan Asad, Mahdi Fazeli, Mahmood Fathy, Farah Mohammadi 0001 Energy aware and reliable STT-RAM based cache design for 3D embedded chip-multiprocessors. Search on Bibsonomy ReCoSoC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
12Alexia Ingerson, David Chiu 0001, Jason Sawin Cache-Friendly Bitmap Compression on Symmetric Multiprocessors. Search on Bibsonomy ICAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
12Milad Ghorbani Moghaddam Dynamic energy and reliability management in network-on-chip based chip multiprocessors. Search on Bibsonomy IGSC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
12Milad Ghorbani Moghaddam, Wenkai Guan, Cristinel Ababei Investigation of LSTM based prediction for dynamic energy management in chip multiprocessors. Search on Bibsonomy IGSC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
12Chuge Wu, Ling Wang 0001, Jingjing Wang A t-level driven search for estimation of distribution algorithm in solving task graph allocation to multiprocessors. Search on Bibsonomy CASE The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
12Xia-an Bi, Qi Sun Population dynamic evolutionary algorithm for virtual routers running on multiprocessors system. Search on Bibsonomy ICNC-FSKD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
12Jian-Jia Chen, Wen-Hung Huang 0001, Zheng Dong 0002, Cong Liu 0005 Fixed-priority scheduling of mixed soft and hare real-time tasks on multiprocessors. Search on Bibsonomy RTCSA The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
12Kecheng Yang 0001, James H. Anderson On the Soft Real-Time Optimality of Global EDF on Uniform Multiprocessors. Search on Bibsonomy RTSS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
12Xu Jiang 0004, Nan Guan, Xiang Long, Wang Yi 0001 Semi-Federated Scheduling of Parallel Real-Time Tasks on Multiprocessors. Search on Bibsonomy RTSS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
12Youhui Zhang, Youyang Zhang, Yanhua Li, Xiang Fei, Weimin Zheng In-Place Irregular Computation for Message-Passing Chip-Multiprocessors. Search on Bibsonomy ICPP Workshops The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
12Kramer Straube, Christopher Nitta, Raj Amirtharajah, Matthew K. Farrens, Venkatesh Akella Improving Execution Time of Parallel Programs on Large Scale Chip Multiprocessors with Constant Average Power Processing. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
12Pooneh Safayenikoo, Arghavan Asad, Mahmood Fathy, Farah Mohammadi 0001 An energy efficient non-uniform Last Level Cache Architecture in 3D chip-multiprocessors. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
12Pooneh Safayenikoo, Arghavan Asad, Mahmood Fathy, Farah Mohammadi 0001 A new traffic compression method for end-to-end memory accesses in 3D chip-multiprocessors. Search on Bibsonomy CCECE The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
12Shounak Chakraborty 0001, Hemangee K. Kapoor Towards Controlling Chip Temperature by Dynamic Cache Reconfiguration in Multiprocessors. Search on Bibsonomy VLSID The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
12Debiprasanna Sahoo, Manoranjan Satpathy, Madhu Mutyam An Experimental Study on Dynamic Bank Partitioning of DRAM in Chip Multiprocessors. Search on Bibsonomy VLSID The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
12Sukarn Agarwal, Hemangee K. Kapoor Towards a Better Lifetime for Non-volatile Caches in Chip Multiprocessors. Search on Bibsonomy VLSID The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
12Moreno Marzolla, Gabriele D'Angelo Parallel sort-based matching for data distribution management on shared-memory multiprocessors. Search on Bibsonomy DS-RT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
12Pattaravut Maleehuan, Yuki Chiba, Toshiaki Aoki Assembly program verification for multiprocessors with relaxed memory model using SMT solver. Search on Bibsonomy TASE The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
12Avishek Choudhury, Biplab K. Sikdar Performance Analysis of Disability Based Fault Tolerance Techniques for Permanent Faults in Chip Multiprocessors. Search on Bibsonomy VDAT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
12Malik Al-Manasia Mitigating cache associativity and coherence scalability constraints for many-core chip multiprocessors Search on Bibsonomy 2017   RDF
12Sara Afshar Lock-Based Resource Sharing for Real-Time Multiprocessors. Search on Bibsonomy 2017   RDF
12Paulraj Ranjith Kumar, K. Santhakumar, Sankaran Palani An intelligent approach for optimizing Energy consumption and Schedule length of Embedded multiprocessors. Search on Bibsonomy J. Intell. Fuzzy Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Ioannis Sourdis, Danish Anis Khan, Alirad Malek, Stavros Tzilis, Georgios Smaragdos, Christos Strydis Resilient Chip Multiprocessors with Mixed-Grained Reconfigurability. Search on Bibsonomy IEEE Micro The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Hao Xiao, Ning Wu, Fen Ge, Tsuyoshi Isshiki, Hiroaki Kunieda, Jun Xu, Yuangang Wang Efficient Synchronization for Distributed Embedded Multiprocessors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Md Nashid Anjum, Honggang Wang 0001 Dynamic scheduling and analysis of real time systems with multiprocessors. Search on Bibsonomy Digit. Commun. Networks The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Pejman Lotfi-Kamran, Mehdi Modarressi, Hamid Sarbazi-Azad An Efficient Hybrid-Switched Network-on-Chip for Chip Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Di Zhu 0002, Lizhong Chen, Siyu Yue, Timothy Mark Pinkston, Massoud Pedram Providing Balanced Mapping for Multiple Applications in Many-Core Chip Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Pavan Poluri, Ahmed Louri Shield: A Reliable Network-on-Chip Router Architecture for Chip Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Albert Esteve, Alberto Ros 0001, María Engracia Gómez, Antonio Robles, José Duato Efficient TLB-Based Detection of Private Pages in Chip Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Guangmo Tong, Cong Liu 0005 Supporting Soft Real-Time Sporadic Task Systems on Uniform Heterogeneous Multiprocessors with No Utilization Loss. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Ying Zhang 0016, Samuel Irving, Lu Peng 0001, Xin Fu, David M. Koppelman, Weihua Zhang, Jesse Ardonne Design space exploration for device and architectural heterogeneity in chip-multiprocessors. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Marta Ortín-Obón, Darío Suárez Gracia, María Villarroya-Gaudó, Cruz Izu, Víctor Viñals Yúfera Analysis of network-on-chip topologies for cost-efficient chip multiprocessors. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Risat Mahmud Pathan Real-Time Scheduling on Uni- and Multiprocessors based on Priority Promotions. Search on Bibsonomy Leibniz Trans. Embed. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Sandeep Navada, Anil Krishna Criticality Aware Multiprocessors. Search on Bibsonomy CoRR The full citation details ... 2016 DBLP  BibTeX  RDF
12Leonid Yavits, Amir Morad, Ran Ginosar Effect of Data Sharing on Private Cache Design in Chip Multiprocessors. Search on Bibsonomy CoRR The full citation details ... 2016 DBLP  BibTeX  RDF
12Mason Thammawichai, Eric C. Kerrigan Energy-Efficient Real-Time Scheduling for Two-Type Heterogeneous Multiprocessors. Search on Bibsonomy CoRR The full citation details ... 2016 DBLP  BibTeX  RDF
12Peng Wu, Minsoo Ryu EDZL Scheduling and Schedulability Analysis for Performance Asymmetric Multiprocessors. Search on Bibsonomy Int. J. Found. Comput. Sci. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Vicent Selfa, Crispín Gómez Requena, María Engracia Gómez, Julio Sahuquillo A Simple Activation/Deactivation Prefetching Scheme for Chip Multiprocessors. Search on Bibsonomy PDP The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Björn Andersson, Gurulingesh Raravi Scheduling Constrained-Deadline Parallel Tasks on Two-type Heterogeneous Multiprocessors. Search on Bibsonomy RTNS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Sanjoy K. Baruah, Vincenzo Bonifaci, Renato Bruni, Alberto Marchetti-Spaccamela ILP-Based Approaches to Partitioning Recurrent Workloads Upon Heterogeneous Multiprocessors. Search on Bibsonomy ECRTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Alessandro Biondi 0001, Björn B. Brandenburg Lightweight Real-Time Synchronization under P-EDF on Symmetric and Asymmetric Multiprocessors. Search on Bibsonomy ECRTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Peng Wu, Shakaiba Majeed, Minsoo Ryu Two approaches towards EDZL scheduling for performance asymmetric multiprocessors. Search on Bibsonomy IC-NIDC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Yingnan Cui, Wei Zhang 0012, Bingsheng He A discrete thermal controller for chip-multiprocessors. Search on Bibsonomy DATE The full citation details ... 2016 DBLP  BibTeX  RDF
12Emanuele Del Sozzo, Gianluca C. Durelli, E. M. G. Trainiti, Antonio Miele, Marco D. Santambrogio, Cristiana Bolchini Workload-aware power optimization strategy for asymmetric multiprocessors. Search on Bibsonomy DATE The full citation details ... 2016 DBLP  BibTeX  RDF
12Xiaomei Guo A novel parallel FDTD algorithm on Non-Uniform Memory Access multiprocessors. Search on Bibsonomy ICIS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Pooneh Safayenikoo, Arghavan Asad, Kaamran Raahemifar, Mahmood Fathy UCA: An Energy-efficient Hybrid Uncore Architecture in 3D Chip-Multiprocessors to minimize crosstalk. Search on Bibsonomy NoCArc@MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Sajib K. Biswas, Amit Rauniyar, Pranab K. Muhuri Multi-objective Bayesian optimization algorithm for real-time task scheduling on heterogeneous multiprocessors. Search on Bibsonomy CEC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Yanhua Li, Youhui Zhang, Kunpeng Song, Haibin Wang, Weiming Zheng Near Data Computation for Message-Passing Chip-Multiprocessors. Search on Bibsonomy HPCC/SmartCity/DSS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Elham Kashefi, Hamid R. Zarandi, Ann Gordon-Ross Postponing wearout failures in chip multiprocessors using thermal management and thread migration. Search on Bibsonomy ReCoSoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Cha V. Li, Vinicius Petrucci, Daniel Mossé Predicting Thread Profiles across Core Types via Machine Learning on Heterogeneous Multiprocessors. Search on Bibsonomy SBESC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Daniel R. Mendat, Sang Chin 0001, Steve B. Furber, Andreas G. Andreou Neuromorphic sampling on the SpiNNaker and parallella chip multiprocessors. Search on Bibsonomy LASCAS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Wei Shu, Nian-Feng Tzeng Relinquishment coherence for enhancing directory efficiency in chip multiprocessors. Search on Bibsonomy ICCD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Shuyu Chen, Letian Huang, Song Li An address remapping algorithm to reduce power consumption in NoC-based chip-multiprocessors. Search on Bibsonomy ISOCC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12 A heterogeneous memory organization with minimum energy consumption in 3D chip-multiprocessors. Search on Bibsonomy CCECE The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Arif M. Khan, Alex Pothen, Md. Mostofa Ali Patwary, Mahantesh Halappanavar, Nadathur Rajagopalan Satish, Narayanan Sundaram, Pradeep Dubey Designing scalable b-Matching algorithms on distributed memory multiprocessors by approximation. Search on Bibsonomy SC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Surajit Das, Shirshendu Das, Hemangee K. Kapoor Tag only storage for capacity optimised last level cache in chip multiprocessors. Search on Bibsonomy VDAT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Jagpreet Singh, Aditya Gujral, Harmandeep Singh, Jag Ustit Singh, Nitin Auluck Energy Aware Scheduling on Heterogeneous Multiprocessors with DVFS and Duplication. Search on Bibsonomy PDCAT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Marco Elver Memory consistency directed cache coherence protocols for scalable multiprocessors. Search on Bibsonomy 2016   RDF
12Gaurang Upasani Soft error mitigation techniques for future chip multiprocessors. Search on Bibsonomy 2016   RDF
12Vítor Schwambach Methods and tools for rapid and efficient parallel implementation of computer vision algorithms on embedded multiprocessors. (Méthodes et outils pour l'implémentation rapide et efficace d'algorithmes de vision par ordinateur sur des multiprocesseurs embarqués). Search on Bibsonomy 2016   RDF
12J. Rubén Titos Gil, Manuel E. Acacio Hardware Approaches to Transactional Memory in Chip Multiprocessors. Search on Bibsonomy Handbook on Data Centers The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Prapaporn Rattanatamrong, José A. B. Fortes Improved real-time scheduling of periodic tasks on multiprocessors. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Jade Alglave, Luc Maranget, Daniel Poetzl, Tyler Sorensen 0001 I compute, therefore I am (buggy): methodic doubt meets multiprocessors. Search on Bibsonomy Tiny Trans. Comput. Sci. The full citation details ... 2015 DBLP  BibTeX  RDF
12Seyed Majid Zahedi, Benjamin C. Lee Sharing Incentives and Fair Division for Multiprocessors. Search on Bibsonomy IEEE Micro The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Seunghan Lee, Kyungsu Kang, Chong-Min Kyung Runtime Thermal Management for 3-D Chip-Multiprocessors With Hybrid SRAM/MRAM L2 Cache. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Ying Wang 0001, Lei Zhang 0008, Yinhe Han 0001, Huawei Li 0001, Xiaowei Li 0001 Data Remapping for Static NUCA in Degradable Chip Multiprocessors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Nitin Chaturvedi, Arun Subramaniyan 0001, S. Gurunarayanan 0001 An adaptive migration-replication scheme (AMR) for shared cache in chip multiprocessors. Search on Bibsonomy J. Supercomput. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
Displaying result #801 - #900 of 3723 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license