The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for registers with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1967 (17) 1968-1972 (15) 1973-1977 (18) 1978-1980 (17) 1981-1984 (20) 1985-1986 (27) 1987 (19) 1988 (35) 1989 (24) 1990 (40) 1991 (25) 1992 (39) 1993 (36) 1994 (51) 1995 (77) 1996 (60) 1997 (59) 1998 (69) 1999 (73) 2000 (86) 2001 (95) 2002 (123) 2003 (133) 2004 (151) 2005 (159) 2006 (177) 2007 (191) 2008 (177) 2009 (104) 2010 (26) 2011 (32) 2012 (28) 2013 (26) 2014 (24) 2015 (27) 2016 (30) 2017 (25) 2018 (26) 2019 (35) 2020 (31) 2021 (41) 2022 (20) 2023 (30) 2024 (8)
Publication types (Num. hits)
article(745) book(1) incollection(13) inproceedings(1756) phdthesis(11)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2555 occurrences of 1182 keywords

Results
Found 2526 publication records. Showing 2526 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
15Jana Zvárová EFMI symposium on "Electronic Health Record Healthcare Registers and Telemedicine". Search on Bibsonomy Int. J. Medical Informatics The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Joo Chuan Tong, Guanglan Zhang, Tin Wee Tan, J. Thomas August, Vladimir Brusic, Shoba Ranganathan Prediction of HLA-DQ3.2ß Ligands: evidence of multiple registers in class II binding peptides. Search on Bibsonomy Bioinform. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Paul M. B. Vitányi Registers Search on Bibsonomy CoRR The full citation details ... 2006 DBLP  BibTeX  RDF
15Donald Johnson, David J. Lilja, John Riedl Circulating shared-registers for multiprocessor systems. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Bjorn De Sutter, Bruno De Bus, Koen De Bosschere Bidirectional liveness analysis, or how less than half of the Alpha's registers are used. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Margrit R. Krug, Marcelo de Souza Moraes, Marcelo Lubaszewski Using a software testing technique to identify registers for partial scan implementation. Search on Bibsonomy SBCCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF hardware testing, testability improvement, hardware description language, automatic test generation, partial scan design
15Chryssis Georgiou, Nicolas C. Nicolaou, Alexander A. Shvartsman Brief Announcement: Fault-Tolerant SemiFast Implementations of Atomic Read/Write Registers. Search on Bibsonomy DISC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Moises Zarate, Oscar Camacho Nieto, Luis A. Villa Vargas, Osvaldo Espinosa Zero Detect-Based Low Power Registers File Access. Search on Bibsonomy CDES The full citation details ... 2006 DBLP  BibTeX  RDF
15Stephen Hines, David B. Whalley, Gary S. Tyson Adapting compilation techniques to enhance the packing of instructions into registers. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF instruction packing, instruction register file, compiler optimizations
15T. Radtke, Stephan Fritzsche Simulation of n-qubit quantum systems. I. Quantum registers and quantum gates. Search on Bibsonomy Comput. Phys. Commun. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Bernd Schomburg Mixing properties of triangular feedback shift registers. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2005 DBLP  BibTeX  RDF
15Sonja Biersack, Vera Kempe, Lorna Knapton Fine-tuning speech registers: a comparison of the prosodic features of child-directed and foreigner-directed speech. Search on Bibsonomy INTERSPEECH The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Jason Cong, Yiping Fan, Guoling Han, Ashok Jagannathan, Glenn Reinman, Zhiru Zhang Instruction set extension with shadow registers for configurable processors. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF shadow register, compilation, ASIP, configurable processor
15Thomas Zeitlhofer, Bernhard Wess Integrated assignment of registers and functional units for heterogeneous vliw-architectures. Search on Bibsonomy SoCC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Yunhe Shi, David Gregg, Andrew Beatty, M. Anton Ertl Virtual machine showdown: stack versus registers. Search on Bibsonomy VEE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF register architecture, stack architecture, virtual machine, interpreter
15Richard Parker, Andrew Plater Addition chains with a bounded number of registers. Search on Bibsonomy Inf. Process. Lett. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Chien-In Henry Chen, Kiran George Configurable two-dimensional linear feedback shifter registers for parallel and serial built-in self-test. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15George Tambouratzis, Stella Markantonatou, Nikolaos Hairetakis, Marina Vassiliou, George Carayannis, Dimitrios Tambouratzis Discriminating the Registers and Styles in the Modern Greek Language-Part 1: Diglossia in Stylistic Analysis. Search on Bibsonomy Lit. Linguistic Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15George Tambouratzis, Stella Markantonatou, Nikolaos Hairetakis, Marina Vassiliou, George Carayannis, Dimitrios Tambouratzis Discriminating the Registers and Styles in the Modern Greek Language-Part 2: Extending the Feature Vector to Optimize Author Discrimination. Search on Bibsonomy Lit. Linguistic Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15François Arnault, Thierry P. Berger, Abdelkader Necer Feedback with carry shift registers synthesis with the Euclidean algorithm. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Lu Peng 0001, Jih-Kwon Peir, Konrad Lai Signature Buffer: Bridging Performance Gap between Registers and Caches. Search on Bibsonomy HPCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Daniel Mika, Josef Strnadel, Zdenek Kotásek The Identification of registers in RTL Structures for the Test Application. Search on Bibsonomy ISoLA (Preliminary proceedings) The full citation details ... 2004 DBLP  BibTeX  RDF
15Daniel Gil, Joaquin Gracia, Juan Carlos Baraza, Pedro J. Gil Analysis of the influence of processor hidden registers on the accuracy of fault injection techniques. Search on Bibsonomy HLDVT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Alexander Fish, Vladislav Mosheyev, Vitali Linkovsky, Orly Yadid-Pecht Ultra low-power DFF based shift registers design for CMOS image sensors applications. Search on Bibsonomy ICECS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Abdullah Mamun, Rajendra S. Katti A new parallel architecture for low power linear feedback shift registers. Search on Bibsonomy ISCAS (2) The full citation details ... 2004 DBLP  BibTeX  RDF
15Frank Wolz, Reiner Kolla Disproving the Perfect-Rate Property of Data-Flow Graphs Unfolded by the Least Common Multiple of the Number of Loop Registers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF data-flow programs, perfect-rate, Data-flow graphs
15Reijo Sund Utilisation of administrative registers using scientific knowledge discovery. Search on Bibsonomy Intell. Data Anal. The full citation details ... 2003 DBLP  BibTeX  RDF
15Michael Dewar, Daniel Panario Linear transformation shift registers. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Boaz Tsaban, Uzi Vishne Efficient linear feedback shift registers with maximal period Search on Bibsonomy CoRR The full citation details ... 2003 DBLP  BibTeX  RDF
15W. A. Zuniga-Galindo Computing Igusa's Local Zeta Functions of Univariate Polynomials, and Linear Feedback Shift Registers Search on Bibsonomy CoRR The full citation details ... 2003 DBLP  BibTeX  RDF
15Boaz Tsaban, Uzi Vishne Efficient linear feedback shift registers with maximal period. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2003 DBLP  BibTeX  RDF
15Seong Yong Ohm, Ki-Yeol Ryu, Kang Yi Lower Bound Estimation on the Numbers of LUT Blocks and Micro-Registers for Time-Mulitplexed FPGA Synthesis. Search on Bibsonomy Engineering of Reconfigurable Systems and Algorithms The full citation details ... 2003 DBLP  BibTeX  RDF
15Gerd Maderlechner, Peter Suda Extraction of valid data sets in registers using recognition of invalidation lines. Search on Bibsonomy DRR The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Yi Zhao, Sujit Dey Separate Dual-Transistor Registers - A Circuit Solution for On-line Testing of Transient Error in UDSM-IC. Search on Bibsonomy IOLTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15D. Linardatos, Nicholas Kalouptsidis Synthesis of minimal cost nonlinear feedback shift registers. Search on Bibsonomy Signal Process. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Chik How Tan, Xun Yi, Chee Kheong Siew New Signature Schemes Based on 3rd Order Shift Registers. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2002 DBLP  BibTeX  RDF
15Akio Tsuneda, Yasunori Kuga, Takahiro Inoue New Maximal-Period Sequences Using Extended Nonlinear Feedback Shift Registers Based on Chaotic Maps. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2002 DBLP  BibTeX  RDF
15Rajiv Wickremesinghe, Lars Arge, Jeffrey S. Chase, Jeffrey Scott Vitter Efficient Sorting Using Registers and Caches. Search on Bibsonomy ACM J. Exp. Algorithmics The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Mark Goresky, Andrew Klapper Fibonacci and Galois representations of feedback-with-carry shift registers. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Paul M. B. Vitányi Simple Wait-free Multireader Registers Search on Bibsonomy CoRR The full citation details ... 2002 DBLP  BibTeX  RDF
15Alice Reid, Ros Davies, Eilidh Garrett Nineteenth-Century Scottish Demography From Linked Censuses and Civil Registers: A 'Sets of Related Individuals' Approach. Search on Bibsonomy Hist. Comput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Cameron Campbell, James Lee State Views and Local Views of Population: Linking and Comparing Genealogies and Household Registers in Liaoning, 1749-1909. Search on Bibsonomy Hist. Comput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Kees Mandemakers Building Life Course Datasets From Population Registers by the Historical Sample of the Netherlands (HSN). Search on Bibsonomy Hist. Comput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Michael Gössel, Egor S. Sogomonyan, Adit D. Singh Scan-Path with Directly Duplicated and Inverted Duplicated Registers. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15D. Linardatos, Nicholas Kalouptsidis Synthesis of minimal cost nonlinear feedback shift registers. Search on Bibsonomy EUSIPCO The full citation details ... 2002 DBLP  BibTeX  RDF
15Alexander Kholosha Clock-Controlled Shift Registers for Key-Stream Generation. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2001 DBLP  BibTeX  RDF
15Gerrit Bloothooft, Mieke van Wijck, Peter Pabon Relations between vocal registers in voice breaks. Search on Bibsonomy INTERSPEECH The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Bedros Hanounik, Xiaobo Sharon Hu Linear-time Matrix Transpose Algorithms Using Vector Register File With Diagonal Registers. Search on Bibsonomy IPDPS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Chien-In Henry Chen Synthesis of configurable linear feedback shifter registers for detecting random-pattern-resistant faults. Search on Bibsonomy ISSS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Chik How Tan, Xun Yi, Chee Kheong Siew Signature Schemes Based on 3rd Order Shift Registers. Search on Bibsonomy ACISP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Maurice Herlihy On beyond registers: wait-free readable objects. Search on Bibsonomy PODC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Matt Postiff Compiler and microarchitecture mechanisms for exploiting registers to improve memory performance. Search on Bibsonomy 2001   RDF
15Y. N. Srikant, D. V. Ravindra Effective Parameterization of Architectural Registers for Register Allocation Alogorithms. Search on Bibsonomy ACM SIGPLAN Notices The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Chien-In Henry Chen, Yingjie Zhou Configurable 2-D Linear Feedback Shift Registers for VLSI Built-in Self-test Designs. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Joel Jones, Samuel N. Kamin Annotating Java class files with virtual registers for performance. Search on Bibsonomy Concurr. Pract. Exp. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Teresa Monreal, Antonio González 0001, Mateo Valero, José González 0002, Víctor Viñals Dynamic Register Renaming Through Virtual-Physical Registers. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2000 DBLP  BibTeX  RDF
15Jovan Dj. Golic Cryptanalysis of three mutually clock-controlled stop/go shift registers. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Yee-Wing Hsieh, Steven P. Levitan Abstraction techniques for verification of multiple tightly coupled counters, registers and comparators. Search on Bibsonomy HLDVT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Lars Arge, Jeffrey S. Chase, Jeffrey Scott Vitter, Rajiv Wickremesinghe Efficient Sorting Using Registers and Caches. Search on Bibsonomy WAE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Tomoo Inoue, Debesh Kumar Das, Chiiho Sano, Takahiro Mihara, Hideo Fujiwara Test Generation for Acyclic Sequential Circuits with Hold Registers. Search on Bibsonomy ICCAD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Andrew Klapper, Jinzhong Xu Algebraic Feedback Shift Registers. Search on Bibsonomy Theor. Comput. Sci. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Gerrit Bloothooft, Peter Pabon Vocal registers revisited. Search on Bibsonomy EUROSPEECH The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Gregor Möhler, Jörg Mayer 0001 A method for the analysis of prosodic registers. Search on Bibsonomy EUROSPEECH The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Yonatan Aumann, Avivit Kapah-Levy Cooperative Sharing and Asynchronous Consensus Using Single-Reader Single-Writer Registers. Search on Bibsonomy SODA The full citation details ... 1999 DBLP  BibTeX  RDF
15Takafumi Morifuji, Yoshinori Takeuchi, Masaharu Imai A programmable processor with multiple functional units and banked registers for general purpose numerical processing. Search on Bibsonomy ICASSP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Ravi Jain, Thomas Raleigh, Danny Yang, Li-Fung Chang, Charles Graff, Michael Bereschinsky, Mitesh P. Patel Enhancing Survivability of Mobile Internet Access Using Mobile IP with Location Registers. Search on Bibsonomy INFOCOM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Kuen-Jong Lee, Wei-Lun Wang, Jhing-Fa Wang A General Structure of Feedback Shift Registers for Built-In Self Test. Search on Bibsonomy J. Inf. Sci. Eng. The full citation details ... 1998 DBLP  BibTeX  RDF
15Matthew Nickerson Electronic Access to Special Collections Registers in the Gerald R. Sherratt Library, Southern Utah University. Search on Bibsonomy Arch. Mus. Informatics The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
15Luis Villa, Roger Espasa, Mateo Valero Effective usage of vector registers in decoupled vector architectures. Search on Bibsonomy PDP The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
15Denis Burnham Special speech registers: talking to australian and Thai infants, and to pets. Search on Bibsonomy ICSLP The full citation details ... 1998 DBLP  BibTeX  RDF
15Hans L. Bodlaender, Jens Gustedt, Jan Arne Telle Linear-Time Register Allocation for a Fixed Number of Registers. Search on Bibsonomy SODA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
15Antonio González 0001, José González 0002, Mateo Valero Virtual-Physical Registers. Search on Bibsonomy HPCA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
15Ravi Jain, Thomas Raleigh, Charles Graff, Michael Bereschinsky Mobile Internet access and QoS guarantees using mobile IP and RSVP with location registers. Search on Bibsonomy ICC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
15Martha J. Kosa Wait-free lazy-writer registers with eager readers. Search on Bibsonomy ACM Southeast Regional Conference The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
15Jinzhong Xu, Andrew Klapper Feedback with Carry Shift Registers over Z / (N). Search on Bibsonomy SETA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
15Luis Villa, Roger Espasa, Mateo Valero A Performance Study of Out-of-order Vector Architectures and Short Registers. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
15Luis Villa, Roger Espasa, Mateo Valero Registers Size Influence on Vector Architectures. Search on Bibsonomy VECPAR The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
15Andrew Klapper, Mark Goresky Feedback Shift Registers, 2-Adic Span, and Combiners with Memory. Search on Bibsonomy J. Cryptol. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
15Rida A. Bazzi, Gil Neiger, Gary L. Peterson On the Use of Registers in Achieving Wait-Free Consensus. Search on Bibsonomy Distributed Comput. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
15I. Kokolakis, Ioannis Andreadis, Philippos Tsalides Comparison between cellular automata and linear feedback shift registers based pseudo-random number generators. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
15Jacob Savir Reduced Latch Count Shift Registers. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF shift register latch, scan register, shifting clocks, STUMPS architecture, LSSD
15Bernd Blobel Clinical Record Systems in Oncology. Experiences and Developments on Cancer Registers in Eastern Germany. Search on Bibsonomy Personal Medical Information The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
15Antonio González 0001, Mateo Valero, José González 0002, Teresa Monreal Arnal Virtual registers. Search on Bibsonomy HiPC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
15Sorina Dumitrescu Characterization of RE Using CD Grammar Systems with Two Registers and RL Rules. Search on Bibsonomy New Trends in Formal Languages The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
15Jacob Savir On The Tradeoff Between Number of Clocks and Number of Latches in Shift Registers. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
15Roger Espasa, Mateo Valero A Victim Cache for Vector Registers. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
15Richard Winsborrow EBridge - A TTP project for business registers. Search on Bibsonomy Inf. Secur. Tech. Rep. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
15Janusz Szuster, Pawel Wlaz, Jerzy Zurawiecki On Recognition of Shift Registers. Search on Bibsonomy Comb. Probab. Comput. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
15Jovan Dj. Golic, Slobodan V. Petrovic Correlation Attacks on Clock-Controlled Shift Registers in Keystream Generators. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
15J. L. Price Dutch Entries in the Pound-toll Registers of Elbing 1585-1700, by J. Th. Lindblad with F. C. Dufour- Briët (The Hague: Instituutvoor Nederlandse Geschiedenis, 1995) [Rijks Geschiedkundige Publication, grote serie, 225]. Search on Bibsonomy Hist. Comput. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
15Sybille Hellebrand, Janusz Rajski, Steffen Tarnick, Srikanth Venkataraman, Bernard Courtois Built-In Test for Circuits with Scan Based on Reseeding of Multiple-Polynomial Linear Feedback Shift Registers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
15Shou-ping Feng, Toru Fujiwara, Tadao Kasami, Kazuhiko Iwasaki On the Maximum Value of Aliasing Probabilities for Single Input Signature Registers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1995 DBLP  DOI  BibTeX  RDF single input signature register, signature analysis, Hamming code, Aliasing probability, BCH code, weight distribution
15Uri Abraham On Interprocess Communication and the Implementation of Multi-Writer Atomic Registers. Search on Bibsonomy Theor. Comput. Sci. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
15R. Venugopal, Y. N. Srikant Scheduling Expression Trees with Reusable Registers on Delayed-Load Architectures. Search on Bibsonomy Comput. Lang. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
15Robert Bond Public registers for software programs. Search on Bibsonomy Comput. Law Secur. Rev. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
15Christine Eisenbeis, Franco Gasperoni, Uwe Schwiegelshohn Allocating registers in multiple instruction-issuing processors. Search on Bibsonomy PACT The full citation details ... 1995 DBLP  BibTeX  RDF
15Jovan Dj. Golic, Luke O'Connor A Cryptanalysis of Clock-Controlled Shift Registers with Multiple Steps. Search on Bibsonomy Cryptography: Policy and Algorithms The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
15Martha J. Kosa Lazy-Writer Multivalued Registers (Abstract). Search on Bibsonomy PODC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
15Hirozumi Yamaguchi, Kozo Okano, Teruo Higashino, Kenichi Taniguchi Synthesis of Protocol Entities' Specifications from Service Specifications in a Petri Net Model with Registers. Search on Bibsonomy ICDCS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
15Jon S. Martens, Aleksandar Pance, Kookrin Char, Marie E. Johansson, Stephen R. Whiteley, Joel R. Wendt, Vincent M. Hietala, Tom A. Plut, Carol I. H. Ashby, Shang Y. Hou, Julia M. Phillips High-temperature superconducting shift registers operating at up to 100 GHz. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
Displaying result #801 - #900 of 2526 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license