|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 1729 occurrences of 545 keywords
|
|
|
Results
Found 1860 publication records. Showing 1843 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
14 | Dzmitry Maliuk, Yiorgos Makris |
An analog non-volatile neural network platform for prototyping RF BIST solutions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation & Test in Europe Conference & Exhibition, DATE 2014, Dresden, Germany, March 24-28, 2014, pp. 1-6, 2014, European Design and Automation Association, 978-3-9815370-2-4. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
14 | C. Vasanthanayaki, A. Azhagu Jaisudhan Pazhani, Jincy Johnson |
VLSI Implementation of Low Power Multiple Single Input Change (MSIC) Test Pattern Generation for BIST Scheme. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISED ![In: 2014 Fifth International Symposium on Electronic System Design, Surathkal, Mangalore, India, December 15-17, 2014, pp. 187-191, 2014, IEEE Computer Society, 978-1-4799-6965-4. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
14 | Marzieh Mohammadi, Somayeh Sadeghi Kohan, Nasser Masoumi, Zainalabedin Navabi |
An off-line MDSI interconnect BIST incorporated in BS 1149.1. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ETS ![In: 19th IEEE European Test Symposium, ETS 2014, Paderborn, Germany, May 26-30, 2014, pp. 1-2, 2014, IEEE, 978-1-4799-3415-7. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
14 | Ioannis Voyiatzis |
Concurrent online BIST for sequential circuits exploiting input reduction and output space compaction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ETS ![In: 19th IEEE European Test Symposium, ETS 2014, Paderborn, Germany, May 26-30, 2014, pp. 1-2, 2014, IEEE, 978-1-4799-3415-7. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
14 | Yun-Chao You, Chi-Chun Yang, Jin-Fu Li 0001, Chih-Yen Lo, Chao-Hsun Chen, Jenn-Shiang Lai, Ding-Ming Kwai, Yung-Fa Chou, Cheng-Wen Wu |
BIST-Assisted Tuning Scheme for Minimizing IO-Channel Power of TSV-Based 3D DRAMs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ATS ![In: 23rd IEEE Asian Test Symposium, ATS 2014, Hangzhou, China, November 16-19, 2014, pp. 1-6, 2014, IEEE Computer Society, 978-1-4799-6030-9. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
14 | Ali Ebrahim, Tughrul Arslan, Xabier Iturbe |
A fast and scalable FPGA damage diagnostic service for R3TOS using BIST cloning technique. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPL ![In: 24th International Conference on Field Programmable Logic and Applications, FPL 2014, Munich, Germany, 2-4 September, 2014, pp. 1-4, 2014, IEEE. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
14 | Intissar Toihria, Rim Ayadi, Mohamed Masmoudi |
High Performance BIST PLL approach for VCO testing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ATSIP ![In: 2014 1st International Conference on Advanced Technologies for Signal and Image Processing (ATSIP), Sousse, Tunisia, March 17-19, 2014, pp. 517-522, 2014, IEEE. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
14 | Siam U. Hussain, Sudha Yellapantula, Mehrdad Majzoobi, Farinaz Koushanfar |
BIST-PUF: online, hardware-based evaluation of physically unclonable circuit identifiers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: The IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2014, San Jose, CA, USA, November 3-6, 2014, pp. 162-169, 2014, IEEE, 978-1-4799-6277-8. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
14 | Bendik Kleveland, Jeong Choi, Jeff Kumala, Pascal Adam, Patrick Chen, Rajesh Chopra, Antonio Cruz, Ronald B. David, Ashish Dixit, Sinan Doluca, Mark Hendrickson, Ben Lee, Ming Liu, Michael John Miller, Mike Morrison, Byeong Cheol Na, Jay Patel 0003, Dipak K. Sikdar, Michael Sporer, Clement Szeto, Anju Tsao, Jianguang Wang, Daniel Yau, Wesley Yu |
Early detection and repair of VRT and aging DRAM bits by margined in-field BIST. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSIC ![In: Symposium on VLSI Circuits, VLSIC 2014, Digest of Technical Papers, Honolulu, HI, USA, June 10-13, 2014, pp. 1-2, 2014, IEEE, 978-1-4799-3327-3. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
14 | Martin Omaña 0001, Daniele Rossi 0001, Edda Beniamino, Cecilia Metra, Chandra Tirumurti, Rajesh Galivanche |
Power droop reduction during Launch-On-Shift scan-based logic BIST. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFT ![In: 2014 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2014, Amsterdam, The Netherlands, October 1-3, 2014, pp. 21-26, 2014, IEEE Computer Society, 978-1-4799-6155-9. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
14 | Bruce Querbach, Rahul Khanna, David Blankenbeckler, Yulan Zhang, Ronald T. Anderson, David G. Ellis, Zale T. Schoenborn, Sabyasachi Deyati, Patrick Chiang 0001 |
A reusable BIST with software assisted repair technology for improved memory and IO debug, validation and test time. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: 2014 International Test Conference, ITC 2014, Seattle, WA, USA, October 20-23, 2014, pp. 1-10, 2014, IEEE Computer Society, 978-1-4799-4722-5. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
14 | Mukesh Agrawal 0001, Krishnendu Chakrabarty, Bill Eklow |
A distributed, reconfigurable, and reusable bist infrastructure for 3D-stacked ICs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: 2014 International Test Conference, ITC 2014, Seattle, WA, USA, October 20-23, 2014, pp. 1-10, 2014, IEEE Computer Society, 978-1-4799-4722-5. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
14 | Stephen Sunter, Saghir A. Shaikh, Qing Lin |
Fast BIST of I/O Pin AC specifications and inter-chip delays. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: 2014 International Test Conference, ITC 2014, Seattle, WA, USA, October 20-23, 2014, pp. 1-8, 2014, IEEE Computer Society, 978-1-4799-4722-5. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
14 | Elena Dubrova, Mats Näslund, Gunnar Carlsson, Ben J. M. Smeets |
Keyed logic BIST for Trojan detection in SoC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSoC ![In: 2014 International Symposium on System-on-Chip, SoC 2014, Tampere, Finland, October 28-29, 2014, pp. 1-4, 2014, IEEE, 978-1-4799-6890-9. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
14 | Taha Mehrabi, Kaamran Raahemifar, Vadim Geurkov |
Design of a 4-bit programmable delay with TDC-based BIST for use in serial data links. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISIC ![In: 2014 International Symposium on Integrated Circuits (ISIC), Singapore, December 10-12, 2014, pp. 580-583, 2014, IEEE, 978-1-4799-4833-8. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
14 | Ramesh Bhakthavatchalu, Sreeja Krishnan, V. Vineeth, M. Nirmala Devi 0001 |
Deterministic seed selection and pattern reduction in Logic BIST. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VDAT ![In: 18th International Symposium on VLSI Design and Test, VDAT 2014, Coimbatore, India, July 16-18, 2014, pp. 1-2, 2014, IEEE, 978-1-4799-5088-1. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
14 | Felix Reimann, Michael Glaß, Jürgen Teich, Alejandro Cook, Laura Rodríguez Gómez, Dominik Ull, Hans-Joachim Wunderlich, Piet Engelke, Ulrich Abelein |
Advanced Diagnosis: SBST and BIST Integration in Automotive E/E Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: The 51st Annual Design Automation Conference 2014, DAC '14, San Francisco, CA, USA, June 1-5, 2014, pp. 96:1-96:9, 2014, ACM, 978-1-4503-2730-5. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
14 | Jorge Carballido, Jorge Hermosillo, Arturo Veloz-Guerrero, David Arditti, Alberto Del Rio 0002, Edgar Borrayo Sandoval, Manuel E. Guzman-Renteria, Hasnain Lakdawala, Marian Verhelst |
A Programmable Calibration/BIST Engine for RF and Analog Blocks in SoCs Integrated in a 32 nm CMOS WiFi Transceiver. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE J. Solid State Circuits ![In: IEEE J. Solid State Circuits 48(7), pp. 1669-1679, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
14 | Feng Liang 0001, Luwen Zhang, Shaochong Lei, Guohe Zhang, Kaile Gao, Bin Liang |
Test Patterns of Multiple SIC Vectors: Theory and Application in BIST Schemes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 21(4), pp. 614-623, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
14 | Senling Wang, Yasuo Sato, Seiji Kajihara, Kohei Miyase |
Scan-Out Power Reduction for Logic BIST. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Trans. Inf. Syst. ![In: IEICE Trans. Inf. Syst. 96-D(9), pp. 2012-2020, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
14 | Zhengliang Lv, Shiyuan Yang, Hong Wang, Linda Milor |
A Delay Evaluation Circuit for Analog BIST Function. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Trans. Electron. ![In: IEICE Trans. Electron. 96-C(3), pp. 393-401, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
14 | Ioannis Voyiatzis, Costas Efstathiou, Hera Antonopoulou, Athanasios Milidonis |
An effective two-pattern test generator for Arithmetic BIST. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Electr. Eng. ![In: Comput. Electr. Eng. 39(2), pp. 398-409, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
14 | Iftekhar Ibne Basith, Nabeeh Kandalaft, Rashid Rashidzadeh, Majid Ahmadi |
Charge-Controlled Readout and BIST Circuit for MEMS Sensors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 32(3), pp. 433-441, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
14 | Tie-Bin Wu, Heng-Zhu Liu, Peng-Xia Liu, Dong-Sheng Guo, Hai-Ming Sun |
A Cost-efficient Input Vector Monitoring Concurrent On-line BIST Scheme Based on Multilevel Decoding Logic. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 29(4), pp. 585-600, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
14 | Jiafeng Zhu, Na Bai, Jianhui Wu |
A low active and leakage power SRAM using a read and write divided and BIST programmable timing control circuit. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microelectron. J. ![In: Microelectron. J. 44(4), pp. 283-291, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
14 | Reza Nourmandi-Pour, Nafiseh Mousavian |
A fully parallel BIST-based method to test the crosstalk defects on the inter-switch links in NOC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microelectron. J. ![In: Microelectron. J. 44(3), pp. 248-257, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
14 | Aiwu Ruan, Shi Kang, Yu Wang, Xiao Han, Zujian Zhu, Yongbo Liao, Peng Li |
A Built-In Self-Test (BIST) system with non-intrusive TPG and ORA for FPGA test and diagnosis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microelectron. Reliab. ![In: Microelectron. Reliab. 53(3), pp. 488-498, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
14 | Xin Chen, Ning Wu, Wei Hu, Weiwei Shan |
BIST design of power switch. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Electron. Express ![In: IEICE Electron. Express 10(15), pp. 20130469, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
14 | Weizheng Wang, Peng Liu 0045, Shuo Cai, Lingyun Xiang |
Low power logic BIST with high test effectiveness. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Electron. Express ![In: IEICE Electron. Express 10(23), pp. 20130853, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
14 | Daniel Arbet, Gabriel Nagy, Viera Stopjaková, Gábor Gyepes |
Efficiency of oscillation-based BIST in 90nm CMOS active analog filters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DDECS ![In: 16th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, DDECS 2013, Karlovy Vary, Czech Republic, April 8-10, 2013, pp. 263-266, 2013, IEEE Computer Society, 978-1-4673-6135-4. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
14 | Priyanka Gadde, Mohammed Y. Niamat |
FPGA memory testing technique using BIST. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MWSCAS ![In: IEEE 56th International Midwest Symposium on Circuits and Systems, MWSCAS 2013, Columbus, OH, USA, August 4-7, 2013, pp. 473-476, 2013, IEEE. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
14 | Hakim Zimouche, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre |
A BIST method for TSVs pre-bond test. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IDT ![In: 8th International Design and Test Symposium, IDT 2013, Marrakesh, Morocco, 16-18 December, 2013, pp. 1-6, 2013, IEEE. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
14 | Elmira Karimi, Mahmoud Tabandeh, M. H. Haghbayan |
Test data compression strategy while using hybrid-BIST methodology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EWDTS ![In: East-West Design & Test Symposium, EWDTS 2013, Rostov-on-Don, Russia, September 27-30, 2013, pp. 1-5, 2013, IEEE Computer Society, 978-1-4799-2095-2. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
14 | Ireneusz Mrozek, Svetlana V. Yarmolik |
Analyses of two run march tests with address decimation for BIST procedure. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EWDTS ![In: East-West Design & Test Symposium, EWDTS 2013, Rostov-on-Don, Russia, September 27-30, 2013, pp. 1-4, 2013, IEEE Computer Society, 978-1-4799-2095-2. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
14 | Yang Yu 0015, Zhiming Yang 0001, Xiyuan Peng, Dianguo Xu 0001 |
Efficient concurrent BIST with comparator-based response analyzer. ![Search on Bibsonomy](Pics/bibsonomy.png) |
I2MTC ![In: IEEE International Instrumentation and Measurement Technology Conference, I2MTC 2013, Minneapolis, MN, USA, May 6-9, 2013, pp. 1115-1119, 2013, IEEE, 978-1-4673-4621-4. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
14 | Bibhas Ghoshal, Indranil Sengupta 0001 |
A Distributed BIST Scheme for NoC-Based Memory Cores. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSD ![In: 2013 Euromicro Conference on Digital System Design, DSD 2013, Los Alamitos, CA, USA, September 4-6, 2013, pp. 567-574, 2013, IEEE Computer Society, 978-1-4799-2978-8. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
14 | Jerzy Tyszer, Michal Filipek, Grzegorz Mrugalski, Nilanjan Mukherjee 0001, Janusz Rajski |
New test compression scheme based on low power BIST. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ETS ![In: 18th IEEE European Test Symposium, ETS 2013, Avignon, France, May 27-30, 2013, pp. 1-6, 2013, IEEE Computer Society, 978-1-4673-6376-1. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
14 | Christophe Kelma, Sébastien Darfeuille, Andreas Neuburger, Andreas Lobnig |
RF BIST and test strategy for the receive part of an RF transceiver in CMOS technology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ETS ![In: 18th IEEE European Test Symposium, ETS 2013, Avignon, France, May 27-30, 2013, pp. 1, 2013, IEEE Computer Society, 978-1-4673-6376-1. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
14 | Daniel Arumí, Rosa Rodríguez-Montañés, Joan Figueras |
BIST architecture to detect defects in tsvs during pre-bond testing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ETS ![In: 18th IEEE European Test Symposium, ETS 2013, Avignon, France, May 27-30, 2013, pp. 1, 2013, IEEE Computer Society, 978-1-4673-6376-1. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
14 | Martin Omaña 0001, Daniele Rossi 0001, Filippo Fuzzi, Cecilia Metra, Chandra Tirumurti, R. Galivache |
Novel approach to reduce power droop during scan-based logic BIST. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ETS ![In: 18th IEEE European Test Symposium, ETS 2013, Avignon, France, May 27-30, 2013, pp. 1-6, 2013, IEEE Computer Society, 978-1-4673-6376-1. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
14 | Akihiro Tomita, Xiaoqing Wen, Yasuo Sato, Seiji Kajihara, Patrick Girard 0001, Mohammad Tehranipoor, Laung-Terng Wang |
On Achieving Capture Power Safety in At-Speed Scan-Based Logic BIST. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 22nd Asian Test Symposium, ATS 2013, Yilan County, Taiwan, November 18-21, 2013, pp. 19-24, 2013, IEEE Computer Society, 978-0-7695-5080-0. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
14 | Amit Kumar 0004, Janusz Rajski, Sudhakar M. Reddy, Thomas Rinderknecht |
On the Generation of Compact Deterministic Test Sets for BIST Ready Designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 22nd Asian Test Symposium, ATS 2013, Yilan County, Taiwan, November 18-21, 2013, pp. 201-206, 2013, IEEE Computer Society, 978-0-7695-5080-0. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
14 | Koay Soon Chan, Nuzrul Fahmi Nordin, Kim Chon Chan, Terk Zyou Lok, Chee Wai Yong |
Multi-histogram ADC BIST System for ADC Linearity Testing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 22nd Asian Test Symposium, ATS 2013, Yilan County, Taiwan, November 18-21, 2013, pp. 213-214, 2013, IEEE Computer Society, 978-0-7695-5080-0. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
14 | Manuel J. Barragán, Gildas Léger, Diego Vázquez, Adoración Rueda |
Sinusoidal signal generation for mixed-signal BIST using a harmonic-cancellation technique. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LASCAS ![In: 4th IEEE Latin American Symposium on Circuits and Systems, LASCAS 2013, Cusco, Peru, February 27 - March 1, 2013, pp. 1-4, 2013, IEEE, 978-1-4673-4897-3. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
14 | Yassine Fkih, Pascal Vivet, Bruno Rouzeyre, Marie-Lise Flottes, Giorgio Di Natale |
A 3D IC BIST for pre-bond test of TSVs using ring oscillators. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NEWCAS ![In: IEEE 11th International New Circuits and Systems Conference, NEWCAS 2013, Paris, France, June 16-19, 2013, pp. 1-4, 2013, IEEE, 978-1-4799-0618-5. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
14 | Imene Lahbib, Mohamed-Aziz Doukkali, Philippe Descarnps, Christophe Kelma, Olivier Tesson |
Design of an embedded RF signal generator for BIST application. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NEWCAS ![In: IEEE 11th International New Circuits and Systems Conference, NEWCAS 2013, Paris, France, June 16-19, 2013, pp. 1-4, 2013, IEEE, 978-1-4799-0618-5. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
14 | Ioannis Voyiatzis, Costas Efstathiou, Cleo Sgouropoulou |
A low-cost input vector monitoring concurrent BIST scheme. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IOLTS ![In: 2013 IEEE 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013, pp. 179-180, 2013, IEEE, 978-1-4799-0662-8. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
14 | Michael Nicolaidis, Panagiota Papavramidou |
Transparent BIST for ECC-based memory repair. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IOLTS ![In: 2013 IEEE 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013, pp. 216-223, 2013, IEEE, 978-1-4799-0662-8. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
14 | Shi-Yu Huang, Jeo-Yen Lee, Kun-Han Tsai, Wu-Tung Cheng |
At-speed BIST for interposer wires supporting on-the-spot diagnosis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IOLTS ![In: 2013 IEEE 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013, pp. 67-72, 2013, IEEE, 978-1-4799-0662-8. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
14 | Richun Fei, Jocelyn Moreau, Salvador Mir |
BIST of interconnection lines in the pixel matrix of CMOS imagers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWASI ![In: 5th IEEE International Workshop on Advances in Sensors and Interfaces, IWASI 2013, Bari, Italy, June 13-14, 2013, pp. 174-177, 2013, IEEE, 978-1-4799-0039-8. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
14 | Pablo A. Petrashin, Carlos Dualibe, Walter J. Lancioni, Luis E. Toledo |
Low-cost DC BIST for analog circuits: A case study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LATW ![In: 14th Latin American Test Workshop, LATW 2013, Cordoba, Argentina, 3-5 April, 2013, pp. 1-4, 2013, IEEE Computer Society, 978-1-4799-0595-9. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
14 | Emanuel Dogaru, Filipe Vinci dos Santos, William Rebernak |
LMS-based RF BIST architecture for multistandard transmitters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFTS ![In: 2013 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFTS 2013, New York City, NY, USA, October 2-4, 2013, pp. 131-136, 2013, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
14 | Saif-Ur Rehman, Mounir Benabdenbi, Lorena Anghel |
BIST for logic and local interconnect resources in a novel mesh of cluster FPGA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFTS ![In: 2013 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFTS 2013, New York City, NY, USA, October 2-4, 2013, pp. 296-301, 2013, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
14 | Samed Maltabas, Osman Kubilay Ekekon, Kemal Kulovic, Anne Meixner, Martin Margala |
An IDDQ BIST approach to characterize phase-locked loop parameters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 31st IEEE VLSI Test Symposium, VTS 2013, Berkeley, CA, USA, April 29 - May 2, 2013, pp. 1-6, 2013, IEEE Computer Society, 978-1-4673-5542-1. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
14 | Gurgen Harutyunyan, Samvel K. Shoukourian, Valery A. Vardanian, Yervant Zorian |
An effective solution for building memory BIST infrastructure based on fault periodicity. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 31st IEEE VLSI Test Symposium, VTS 2013, Berkeley, CA, USA, April 29 - May 2, 2013, pp. 1-6, 2013, IEEE Computer Society, 978-1-4673-5542-1. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
14 | Sen-Wen Hsiao, Nicholas Tzou, Abhijit Chatterjee |
A programmable BIST design for PLL static phase offset estimation and clock duty cycle detection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 31st IEEE VLSI Test Symposium, VTS 2013, Berkeley, CA, USA, April 29 - May 2, 2013, pp. 1-6, 2013, IEEE Computer Society, 978-1-4673-5542-1. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
14 | Yi Cai, Liming Fang, Ivan Chan, Max Olsen, Kevin Richter |
12Gbps SerDes Jitter Tolerance BIST in production loopback testing with enhanced spread spectrum clock generation circuit. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: 2013 IEEE International Test Conference, ITC 2013, Anaheim, CA, USA, September 6-13, 2013, pp. 1-8, 2013, IEEE Computer Society, 978-1-4799-0859-2. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
14 | Zoe Conroy, Alfred L. Crouch |
BA-BIST: Board test from inside the IC out. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: 2013 IEEE International Test Conference, ITC 2013, Anaheim, CA, USA, September 6-13, 2013, pp. 1, 2013, IEEE Computer Society, 978-1-4799-0859-2. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
14 | Ioannis Voyiatzis, Costas Efstathiou, Cleo Sgouropoulou |
Symmetric transparent online BIST for arrays of word-organized RAMs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DTIS ![In: Proceedings of the 8th International Conference on Design & Technology of Integrated Systems in Nanoscale Era, DTIS 2013, 26-28 March, 2013, Abu Dhabi, UAE, pp. 122-127, 2013, IEEE, 978-1-4673-6038-8. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
14 | Takanori Moriyasu, Satoshi Ohtake |
A Method of LFSR Seed Generation for Scan-Based BIST Using Constrained ATPG. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CISIS ![In: Seventh International Conference on Complex, Intelligent, and Software Intensive Systems, CISIS 2013, Taichung, Taiwan, July 3-5, 2013, pp. 755-759, 2013, IEEE Computer Society, 978-0-7695-4992-7. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
14 | Palanichamy Manikandan |
Path Delay Fault Test and BIST. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2013 |
RDF |
|
14 | Ireneusz Mrozek, Vyacheslav N. Yarmolik |
Antirandom Test Vectors for BIST in Hardware/Software Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Fundam. Informaticae ![In: Fundam. Informaticae 119(2), pp. 163-185, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Ya-Ting Shyu, Ying-Zu Lin, Rong-Sing Chu, Guan-Ying Huang, Soon-Jyh Chang |
A Low-Cost Bit-Error-Rate BIST Circuit for High-Speed ADCs Based on Gray Coding. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Trans. Fundam. Electron. Commun. Comput. Sci. ![In: IEICE Trans. Fundam. Electron. Commun. Comput. Sci. 95-A(12), pp. 2415-2423, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Jingbo Duan, Bharath K. Vasan, Chen Zhao, Degang Chen 0001, Randall L. Geiger |
On Chip Signal Generators for Low Overhead ADC BIST. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 28(5), pp. 615-623, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Shreyas Sen, Aritra Banerjee, Vishwanath Natarajan, Shyam Kumar Devarakond, Hyun Woo Choi, Abhijit Chatterjee |
BIST/Digital-Compatible Testing of RF Devices Using Distortion Model Fitting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 28(4), pp. 405-419, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Shao-Feng Hung, Hao-Chiao Hong |
Experimental Results of Testing a BIST Σ-Δ ADC on the HOY Wireless Test Platform. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 28(5), pp. 571-584, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Jun Yuan, Masayoshi Tachibana |
A common-mode BIST technique for fully-differential sample-and-hold circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Electron. Express ![In: IEICE Electron. Express 9(13), pp. 1128-1134, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Weizheng Wang, Jishun Kuang, Peng Liu 0045, Xin Peng, Zhiqiang You |
Switching activity reduction for scan-based BIST using weighted scan input data. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Electron. Express ![In: IEICE Electron. Express 9(10), pp. 874-880, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | M. H. Haghbayan, Saeed Safari, Zainalabedin Navabi |
Power constraint testing for multi-clock domain SoCs using concurrent hybrid BIST. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DDECS ![In: IEEE 15th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, DDECS 2012, Tallinn, Estonia, April 18-20, 2012, pp. 42-45, 2012, IEEE, 978-1-4673-1187-8. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Jaan Raik, Vineeth Govind |
Low-area boundary BIST architecture for mesh-like network-on-chip. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DDECS ![In: IEEE 15th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, DDECS 2012, Tallinn, Estonia, April 18-20, 2012, pp. 95-100, 2012, IEEE, 978-1-4673-1187-8. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Ioannis Voyiatzis |
Input vector monitoring on line concurrent BIST based on multilevel decoding logic. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2012 Design, Automation & Test in Europe Conference & Exhibition, DATE 2012, Dresden, Germany, March 12-16, 2012, pp. 1251-1256, 2012, IEEE, 978-1-4577-2145-8. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Rene Krenz-Baath, Friedrich Hapke, Rolf Hinze, Reinhard Meier, Maija Ryynaenen, Andreas Glowatz |
Robust Evaluation of Weighted Random Logic BIST Structures in Industrial Designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSD ![In: 15th Euromicro Conference on Digital System Design, DSD 2012, Cesme, Izmir, Turkey, September 5-8, 2012, pp. 823-829, 2012, IEEE Computer Society, 978-1-4673-2498-4. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Cândido Duarte, Henrique Cavadas, Pedro Coke, Luis Malheiro, Vítor Grade Tavares, Pedro Guedes de Oliveira |
BIST design for analog cell matching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ETS ![In: 17th IEEE European Test Symposium, ETS 2012, Annecy, France, May 28 - June 1 2012, pp. 1-6, 2012, IEEE Computer Society, 978-1-4673-0697-3. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Senling Wang, Yasuo Sato, Kohei Miyase, Seiji Kajihara |
A Scan-Out Power Reduction Method for Multi-cycle BIST. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 21st IEEE Asian Test Symposium, ATS 2012, Niigata, Japan, November 19-22, 2012, pp. 272-277, 2012, IEEE Computer Society, 978-1-4673-4555-2. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Yasuo Sato, Senling Wang, Takaaki Kato, Kohei Miyase, Seiji Kajihara |
Low Power BIST for Scan-Shift and Capture Power. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 21st IEEE Asian Test Symposium, ATS 2012, Niigata, Japan, November 19-22, 2012, pp. 173-178, 2012, IEEE Computer Society, 978-1-4673-4555-2. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Saif Uddin, Johnny Öberg |
Testing of an off-chip NoC protocol using a BIST/Synthesizable Testbench approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NORCHIP ![In: NORCHIP 2012, Copenhagen, Denmark, November 12-13, 2012, pp. 1-5, 2012, IEEE, 978-1-4673-2221-8. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Feiran Lei, Vivek Yenamandra, Steven Bibyk, Mohammed Ismail 0001 |
A RF/DC current-mode detector for BiST and digital calibration of current-driven mixers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICECS ![In: 19th IEEE International Conference on Electronics, Circuits and Systems, ICECS 2012, Seville, Spain, December 9-12, 2012, pp. 729-732, 2012, IEEE, 978-1-4673-1261-5. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Lampros Dermentzoglou, John Liaperdos, Angela Arapoyanni, Yiorgos Tsiatouhas |
Testing wireless transceivers' RF front-ends utilizing defect-oriented BIST techniques. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICECS ![In: 19th IEEE International Conference on Electronics, Circuits and Systems, ICECS 2012, Seville, Spain, December 9-12, 2012, pp. 961-964, 2012, IEEE, 978-1-4673-1261-5. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Jorge Hermosillo, Jorge Carballido, Arturo Veloz-Guerrero, David Arditti, Alberto Del Rio 0002, Edgar Borrayo Sandoval, Manuel E. Guzman-Renteria, Hasnain Lakdawala, Marian Verhelst |
A programmable calibration/BIST engine for RF/analog blocks in SoCs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESSCIRC ![In: Proceedings of the 38th European Solid-State Circuit conference, ESSCIRC 2012, Bordeaux, France, September 17-21, 2012, pp. 133-136, 2012, IEEE, 978-1-4673-2212-6. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Jingbo Duan, Degang Chen 0001, Randall L. Geiger |
A low cost method for testing offset and gain error for ADC BIST. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: 2012 IEEE International Symposium on Circuits and Systems, ISCAS 2012, Seoul, Korea (South), May 20-23, 2012, pp. 2023-2026, 2012, IEEE, 978-1-4673-0218-0. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Bharath K. Vasan, Siva Sudani, Degang Chen 0001, Randall L. Geiger |
Sinusoidal signal generation for production testing and BIST applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: 2012 IEEE International Symposium on Circuits and Systems, ISCAS 2012, Seoul, Korea (South), May 20-23, 2012, pp. 2601-2604, 2012, IEEE, 978-1-4673-0218-0. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Dzmitry Maliuk, Nathan Kupp, Yiorgos Makris |
Towards a fully stand-alone analog/RF BIST: A cost-effective implementation of a neural classifier. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 30th IEEE VLSI Test Symposium, VTS 2012, Maui, Hawaii, USA, 23-26 April 2012, pp. 62-67, 2012, IEEE Computer Society, 978-1-4673-1074-1. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Wei-Cheng Lien, Tong-Yu Hsieh, Kuen-Jong Lee |
Routing-efficient implementation of an internal-response-based BIST architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI-DAT ![In: Proceedings of Technical Program of 2012 VLSI Design, Automation and Test, VLSI-DAT 2012, Hsinchu, Taiwan, April 23-25, 2012, pp. 1-4, 2012, IEEE, 978-1-4577-2080-2. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Ioannis Voyiatzis, Kyriakos Axiotis, Nikolaos S. Papaspyrou, Hera Antonopoulou, Costas Efstathiou |
Test Set Embedding into Low-Power BIST Sequences Using Maximum Bipartite Matching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Panhellenic Conference on Informatics ![In: 16th Panhellenic Conference on Informatics, PCI 2012, Piraeus, Greece, October 5-7, 2012, pp. 74-79, 2012, IEEE Computer Society, 978-1-4673-2720-6. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Matthieu Dubois, Emeric de Foucauld, Christopher Mounet, Serigne Dia, Cedric Mayor |
A frequency measurement BIST implementation targeting gigahertz application. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: 2012 IEEE International Test Conference, ITC 2012, Anaheim, CA, USA, November 5-8, 2012, pp. 1-8, 2012, IEEE Computer Society, 978-1-4673-1594-4. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Zoe Conroy, James J. Grealish, Harrison Miles, Anthony J. Suto, Alfred L. Crouch, Skip Meyers |
Board assisted-BIST: Long and short term solutions for testpoint erosion - Reaching into the DFx toolbox. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: 2012 IEEE International Test Conference, ITC 2012, Anaheim, CA, USA, November 5-8, 2012, pp. 1-10, 2012, IEEE Computer Society, 978-1-4673-1594-4. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Bibhas Ghoshal, Subhadip Kundu, Indranil Sengupta 0001, Santanu Chattopadhyay |
Particle Swarm Optimization Based BIST Design for Memory Cores in Mesh Based Network-on-Chip. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VDAT ![In: Progress in VLSI Design and Test - 16th International Symposium, VDAT 2012, Shibpur, India, July 1-4, 2012. Proceedings, pp. 343-349, 2012, Springer, 978-3-642-31493-3. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Jiajing Wang, A. Hoefler, Benton H. Calhoun |
An Enhanced Canary-Based System With BIST for SRAM Standby Power Reduction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 19(5), pp. 909-914, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
14 | HyeonUk Son, Incheol Kim, Sang-Goog Lee, Jin-Ho Ahn, Jeong-Do Kim, Sungho Kang |
Noise-Tolerant DAC BIST Scheme Using Integral Calculus Approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Trans. Electron. ![In: IEICE Trans. Electron. 94-C(8), pp. 1344-1347, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Nilanjan Mukherjee 0001, Artur Pogiel, Janusz Rajski, Jerzy Tyszer |
BIST-Based Fault Diagnosis for Read-Only Memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 30(7), pp. 1072-1085, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Jianfeng Zhu 0001, Hu He 0001, Dong Wu, Liyang Pan |
Erratum to: A Cost-Efficient Self-Configurable BIST Technique for Testing Multiplexer-Based FPGA Interconnect. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 27(5), pp. 679, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Gurgen Harutunyan, Aram Hakhumyan, Samvel K. Shoukourian, Valery A. Vardanian, Yervant Zorian |
Symmetry Measure for Memory Test and Its Application in BIST Optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 27(6), pp. 753-766, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Jianfeng Zhu 0001, Hu He 0001, Dong Wu, Liyang Pan |
A cost-efficient self-configurable BIST technique for testing multiplexer-based FPGA interconnect. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 27(5), pp. 647-655, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Bin Zhou, Liyi Xiao, Yizheng Ye, Xin-chun Wu |
Optimization of Test Power and Data Volume in BIST Scheme Based on Scan Slice Overlapping. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 27(1), pp. 43-56, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Reza Nourmandi-Pour, Nafiseh Mousavian, Ahmad Khadem-Zadeh |
BIST for network on chip communication infrastructure based on combination of extended IEEE 1149.1 and IEEE 1500 standards. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microelectron. J. ![In: Microelectron. J. 42(5), pp. 667-680, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
14 | R. S. Oliveira, Jorge Semião, Isabel C. Teixeira, Marcelino B. Santos, João Paulo Teixeira 0001 |
On-Line BIST for Performance Failure Prediction Under NBTI-Induced Aging in Safety-Critical Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Low Power Electron. ![In: J. Low Power Electron. 7(4), pp. 562-572, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Palanichamy Manikandan, Bjørn B. Larsen, Einar J. Aas, Mohammad Areef |
A programmable BIST with macro and micro codes for embedded SRAMs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EWDTS ![In: 9th East-West Design & Test Symposium, EWDTS 2011, Sevastopol, Ukraine, September 9-12, 2011, pp. 144-150, 2011, IEEE Computer Society, 978-1-4577-1957-8. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Atieh Lotfi, Parisa Kabiri, Zainalabedin Navabi |
Configurable architecture for memory BIST. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EWDTS ![In: 9th East-West Design & Test Symposium, EWDTS 2011, Sevastopol, Ukraine, September 9-12, 2011, pp. 1-5, 2011, IEEE Computer Society, 978-1-4577-1957-8. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Ioannis Voyiatzis, Costas Efstathiou, Hera Antonopoulou |
A Novel SRAM-Cell Based Input Vector Monitoring Concurrent BIST Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ETS ![In: 16th European Test Symposium, ETS 2011, Trondheim, Norway, May 23-27, 2011, pp. 206, 2011, IEEE Computer Society, 978-0-7695-4433-5. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
14 | V. R. Devanathan, Sunil Bhavsar, Rajat Mehrotra |
Physical-Aware Memory BIST Datapath Synthesis: Architecture and Case-Studies on Complex SoCs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: Proceedings of the 20th IEEE Asian Test Symposium, ATS 2011, New Delhi, India, November 20-23, 2011, pp. 457-458, 2011, IEEE Computer Society, 978-1-4577-1984-4. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
|
|