The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "CICC"( http://dblp.L3S.de/Venues/CICC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/cicc

Publication years (Num. hits)
1998 (128) 1999 (138) 2000 (130) 2001 (122) 2002 (108) 2003 (148) 2004 (147) 2005 (208) 2006 (194) 2007 (198) 2008 (192) 2009 (178) 2010 (146) 2011 (143) 2012 (147) 2013 (171) 2014 (161) 2015 (140) 2017 (131) 2018 (113) 2019 (127) 2020 (85) 2021 (90) 2022 (99) 2023 (122)
Publication types (Num. hits)
inproceedings(3541) proceedings(25)
Venues (Conferences, Journals, ...)
CICC(3566)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 3566 publication records. Showing 3566 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Yun Yin, Yanqiang Gao, Zhihua Wang 0001, Baoyong Chi A 0.1-5.0GHz self-calibrated SDR transmitter with -62.6dBc CIM3 in 65nm CMOS. Search on Bibsonomy CICC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ramesh Harjani, Rakesh Kumar Palani Design of PVT tolerant inverter based circuits for low supply voltages. Search on Bibsonomy CICC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Joo-Myoung Kim, Jae-Seung Lee, Sun-a Kim, Taeik Kim, Hojin Park, Sang-Gug Lee 0001 A 72μW, 2.4GHz, 11.7% tuning range, 212dBc/Hz FoM LC-VCO in 65nm CMOS. Search on Bibsonomy CICC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Wenjuan Guo, Youngchun Kim, Ahmed H. Tewfik, Nan Sun 0003 Ultra-low power multi-channel data conversion with a single SAR ADC for mobile sensing applications. Search on Bibsonomy CICC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Somnath Kundu, Bongjin Kim, Chris H. Kim Two-step beat frequency quantizer based ADC with adaptive reference control for low swing bio-potential signals. Search on Bibsonomy CICC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Shuang Zhu, Benwei Xu, Bo Wu 0009, Kiran Soppimath, Yun Chiu A 0.073-mm2 10-GS/s 6-bit time-domain folding ADC in 65-nm CMOS with inherent DEM. Search on Bibsonomy CICC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Golam R. Chowdhury, Arjang Hassibi A 550μm2 CMOS temperature sensor using self-discharging P-N diode with ±0.1°C (3σ) calibrated and ±0.5°C (3σ) uncalibrated inaccuracies. Search on Bibsonomy CICC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Tsunenobu Kimoto Progress and future challenges of silicon carbide devices for integrated circuits. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Inhee Lee, Yejoong Kim, Suyoung Bang, Gyouho Kim, Hyunsoo Ha, Yen-Po Chen, Dongsuk Jeon, Seokhyun Jeong, Wanyeong Jung, Mohammad Hassan Ghaed, Zhiyoong Foo, Yoonmyung Lee, Jae-Yoon Sim, Dennis Sylvester, David T. Blaauw Circuit techniques for miniaturized biomedical sensors. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Run Chen, Hossein Hashemi 0001 Reconfigurable blocker-resilient receiver with concurrent dual-band carrier aggregation. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Hesham Omran, Muhammad Arsalan, Khaled N. Salama A robust parasitic-insensitive successive approximation capacitance-to-digital converter. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Azita Emami, Kimo Tam Wireline transceivers. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Wei Li, Tao Wang, Jorge A. Grilo, Gabor C. Temes A 0.45mW 12b 12.5MS/s SAR ADC with digital calibration. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Behzad Razavi The role of translational circuits in RF receiver design. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Martin Kinyua, Ruopeng Wang, Eric G. Soenen A 105dBA SNR, 0.0031% THD+N filterless class-D amplifier with discrete time feedback control in 55nm CMOS. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Lawrence T. Clark, David Kidd, Vineet Agrawal, Samuel Leshner, Gokul Krishnan Independent N and P process monitors for body bias based process corner correction. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Geoffrey Yeap Technology-design-manufacturing co-optimization for advanced mobile SoCs. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1William McIntyre, Olivier Trescases Power management. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Foster F. Dai, Byunghoo Jung Low power transceivers and oscillators. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Kejun Xia, Harihara Indana, Usha Gogineni Compact modeling of LDMOS working in the third quadrant. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Mike Li, Gordon W. Roberts Testability and reliability enhancement techniques. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Keunsoo Song, Sangkwon Lee, Dongkyun Kim, Youngbo Shim, Sangil Park, Bokrim Ko, Duckhwa Hong, Yongsuk Joo, Wooyoung Lee, Yongdeok Cho, Wooyeol Shin, Jaewoong Yun, Hyengouk Lee, Jeonghun Lee, Eunryeong Lee, Jaemo Yang, Haekang Jung, Namkyu Jang, Joohwan Cho, Hyeongon Kim A 1.1V 2y-nm 4.35Gb/s/pin 8Gb LPDDR4 mobile device with bandwidth improvement techniques. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Eric Naviasky, Ken Suyama Amplifiers and filters. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Kanokwan Limnuson, Hui Lu, Hillel J. Chiel, Pedram Mohseni A bidirectional neural interface SoC with an integrated spike recorder, microstimulator, and low-power processor for real-time stimulus artifact rejection. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Chuang Lu, Marion K. Matters-Kammerer, Reza Mahmoudi, Peter G. M. Baltus A millimeter-wave tunable transformer-based dual-antenna duplexer with 50 dB isolation. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Fengwei An, Toshinobu Akazawa, Shogo Yamazaki, Lei Chen 0001, Hans Jürgen Mattausch A coprocessor for clock-mapping-based nearest Euclidean distance search with feature vector dimension adaptability. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ali Keshavarzi, Dinesh Maheshwari, Derwin Mattos, Ravi Kapre, Sandeep Krishnegowda, Morgan Whately, Sudhir Gopalswamy Directions in future of SRAM with QDR-WideIO for high performance networking applications and beyond. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Bin Huang, Degang Chen 0001 A high gain operational amplifier via an efficient conductance cancellation technique. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yang Shang, Hao Yu 0001, Chang Yang, Yuan Liang, Wei Meng Lim A 239-281GHz Sub-THz imager with 100MHz resolution by CMOS direct-conversion receiver with on-chip circular-polarized SIW antenna. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jorge A. Grilo, Xicheng Jiang Sub-session: Data converter techniques. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1William Lloyd Bircher, Sam Naffziger AMD SOC power management: Improving performance/watt using run-time feedback. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Suren Jayasuriya, Dong Yang, Alyosha C. Molnar A baseband technique for automated LO leakage suppression achieving > -80dBm in wideband passive mixer-first receivers. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jun-Han Choi, Sang-Hui Park, Gyu-Hyeong Cho A tri-stack buck converter with gate coupling control (GCC) and quasi adaptive dead time control (QADTC). Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yue Hu, Yang Xu 0005, Un-Ku Moon Inherently linear time symmetric pulse width modulation. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Behzad Razavi Recent developments in RF receivers. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Takashi Matsumoto, Kazutoshi Kobayashi, Hidetoshi Onodera Impact of random telegraph noise on CMOS logic circuit reliability. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jan R. Westra, Jan Mulder, Yi Ke, Davide Vecchi, Xiaodong Liu, Erol Arslan, Jiansong Wan, Qiongna Zhang, Sijia Wang, Frank M. L. van der Goes, Klaas Bult Design considerations for low-power analog front ends in full-duplex 10GBASE-T transceivers. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1David Fick, Gyouho Kim, Allan Wang, David T. Blaauw, Dennis Sylvester Mixed-signal stochastic computation demonstrated in an image sensor with integrated 2D edge detection and noise filtering. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Toshiaki Kirihata, Dinesh Somasekhar Advanced memory topics. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Chenjie Gu, Larry Nagel Advanced simulation techniques. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1S. Balasubramanian, Vivek Joshi, Torsten Klick, Randy W. Mann, Joseph Versaggi, Akhilesh Gautam, C. Weintraub, G. Kurz, G. Krause, Andreas Kerber, Biju Parameshwaran, Tanya Nigam HTOL SRAM Vmin shift considerations in scaled HKMG technologies. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Mohammad Elbadry, Sachin Kalia, Ramesh Harjani A 52% tuning range QVCO with a reduced noise coupling scheme and a minimum FOMT of 196dBc/Hz. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Monodeep Kar, Denny Lie, Marilyn Wolf, Vivek De, Saibal Mukhopadhyay Impact of inductive integrated voltage regulator on the power attack vulnerability of encryption engines: A simulation study. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Vaibhav Tripathi, Boris Murmann A 160 MS/s, 11.1 mW, single-channel pipelined SAR ADC with 68.3 dB SNDR. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1K. R. Raghunandan, T. Lakshmi Viswanathan, Thayamkulangara R. Viswanathan Linear current-controlled oscillator for analog to digital conversion. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ismail Cevik, Suat U. Ay A 0.8V 140nW low-noise energy harvesting CMOS APS imager with fully digital readout. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Shih-Hsiung Chien, Li-Te Wu, Ssu-Ying Chen, Ren-Dau Jan, Min-Yung Shih, Ching-Tzung Lin, Tai-Haur Kuo An open-loop class-D audio amplifier with increased low-distortion output power and PVT-insensitive EMI reduction. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Hyungwoo Lee, Hyunwoo Cho, Hoi-Jun Yoo A 33μW/node Duty Cycle Controlled HBC Transceiver system for medical BAN with 64 sensor nodes. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Feng Zhao, Fa Foster Dai A capacitive-coupling technique with phase noise and phase error reduction for multi-phase clock generation. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ye-Sheng Kuo, Pat Pannuto, Gyouho Kim, Zhiyoong Foo, Inhee Lee, Benjamin P. Kempke, Prabal Dutta, David T. Blaauw, Yoonmyung Lee MBus: A 17.5 pJ/bit/chip portable interconnect bus for millimeter-scale sensor systems with 8 nW standby power. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Colin McAndrew, Hidetoshi Onodera Modeling of advanced devices. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Seunghyun Lim, Changho Seok, Hyunho Kim, Haryong Song, Hyoungho Ko A fully integrated electroencephalogram (EEG) analog front-end IC with capacitive input impedance boosting loop. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Bo Wu 0009, Yun Chiu An 85-225MHz Chebyshev-II active-RC BPF with programmable BW and CF achieving over 30dBm IIP3 in 40nm CMOS. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1John A. McNeill, Rabeeh Majidi, Jianping Gong, Chengxin Liu Lookup-table-based background linearization for VCO-based ADCs. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ming-Zhang Kuo, Henry Hsieh, Sang H. Dhong, Ping-Lin Yang, Cheng-Chung Lin, Ryan Tseng, Kevin Huang 0005, Min-Jer Wang, Wei Hwang A 16kB tile-able SRAM macro prototype for an operating window of 4.8GHz at 1.12V VDD to 10 MHz at 0.5V in a 28-nm HKMG CMOS. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Doug C. H. Yu New System-in-Package (SiP) Integration technologies. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Cristiano Santos, Pascal Vivet, Gene Matter, Nicolas Peltier, Sylvian Kaiser, Ricardo Augusto da Luz Reis Thermal modeling methodology for efficient system-level thermal analysis. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Hsin-Chieh Chen, Wei-Chung Chen, Ying-Wei Chou, Meng-Wei Chien, Chin-Long Wey, Ke-Horng Chen, Ying-Hsi Lin, Tsung-Yen Tsai, Chao-Cheng Lee Anti-ESL/ESR variation robust constant-on-time control for DC-DC buck converter in 28nm CMOS technology. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Renzhi Liu, Larry T. Pileggi, Jeffrey A. Weldon A wideband RF receiver with >80 dB harmonic rejection ratio. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Joung-Wook Moon, Sung-Geun Kim, Dae Hyun Kwon, Woo-Young Choi A 0.4-V, 500-MHz, ultra-low-power phase-locked loop for near-threshold voltage operation. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Hao San, Rompei Sugawara, Masao Hotta, Tatsuji Matsuura, Kazuyuki Aihara An area-efficient 12-bit 1.25MS/s radix-value self-estimated non-binary ADC with relaxed requirements on analog components. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Mohamed Elkholy, Mohyee Mikhemar, Hooman Darabi, Kamran Entesari A 1.6-2.2GHz 23dBm low loss integrated CMOS duplexer. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1John A. McNeill, Mohammad Ranjbar Analog techniques. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jack Kenney, Terry Chen, Larry DeVito, Declan Dalton, Stuart McCracken, Richard Soenneker, Ward S. Titus, Todd S. Weigandt A 6.5Mb/s to 11.3Gb/s continuous-rate clock and data recovery. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Matthew M. Ziegler, Ruchir Puri, Bob Philhower, Robert L. Franch, Wing K. Luk, Jens Leenstra, Peter Verwegen, Niels Fricke, George Gristede, Eric Fluhr, Victor V. Zyuban POWER8 design methodology innovations for improving productivity and reducing power. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Clifford Ting, Mohammad Sadegh Jalali, Ali Sheikholeslami, Masaya Kibune, Hirotaka Tamura A blind ADC-based CDR with digital data interpolation and adaptive CTLE and DFE. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Mark S. Lundstrom NEEDS: Moving nanoscience to nanotechnology. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Robert Pawlowski, Joseph Crop, Minki Cho, James W. Tschanz, Vivek De, Thomas Fairbanks, Heather Quinn, Shekhar Borkar, Patrick Yin Chiang Characterization of radiation-induced SRAM and logic soft errors from 0.33V to 1.0V in 65nm CMOS. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Seokhyeon Jeong, Inhee Lee, David T. Blaauw, Dennis Sylvester A 5.8nW, 45ppm/°C on-chip CMOS wake-up timer using a constant charge subtraction scheme. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ed Lee, Mourad El-Gamal Bio-systems at Gigahertz. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Gregory F. Taylor The challenges of analog circuits on nanoscale technologies. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ming-Shuan Chen, Chih-Kong Ken Yang A 50-64 Gb/s serializing transmitter with a 4-tap, LC-ladder-filter-based FFE in 65-nm CMOS. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Sung-Wan Hong, Gyu-Hyeong Cho Inverting buck-boost DC-DC converter for mobile AMOLED display with real-time self-tuned minimum power-loss tracking scheme. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Mahdi Elghazali, Manoj Sachdev, Ajoy Opal A low-leakage, hybrid ESD power supply clamp in 65nm CMOS technology. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Keith Findlater, Adria Bofill, Xavier Revés, Jose Abad A HomePlugAV SoC in 40nm CMOS technology. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Shireen Warnock, Robert A. Groves, Hongmei Li, Richard A. Wachnik, Pooja M. Kotecha, Sungjae Lee, Ning Lu, Paul Solomon, Keith Jenkins Virtual de-embedding study for the accurate extraction of Fin FET gate resistance. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Zheng Zhang 0005, Xiu Yang, Giovanni Marucci, Paolo Maffezzoni, Ibrahim Abe M. Elfadel, George E. Karniadakis, Luca Daniel Stochastic testing simulator for integrated circuits and MEMS: Hierarchical and sparse techniques. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Manoj Sachdev, Tetsuya Iizuka Embedded tutorial: Test and manufacturability for silicon photonics and 3D integration. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Robert A. Groves, Phillip J. Restle, Alan J. Drake, David Shan, Michael G. R. Thomson Optimization and modeling of resonant clocking inductors for the POWER8TM microprocessor. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Chia-Hung Chen, Yi Zhang 0023, Tao He 0001, Patrick Yin Chiang, Gabor C. Temes A 11μW 250 Hz BW two-step incremental ADC with 100 dB DR and 91 dB SNDR for integrated sensor interfaces. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Patrick Rakers, Mohammed Alam, David Newman, Kurt Hausmann, Daniel Schwartz, Mahib Rahman, Mark Kirschenmann Multi-mode cellular transceivers for LTE and LTE-Advanced. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Wooyoung Jung, Yousof Mortazavi, Brian L. Evans, Arjang Hassibi An all-digital PWM-based ΔΣ ADC with an inherently matched multi-bit quantizer. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ankur Guha Roy, Siladitya Dey 0002, Justin B. Goins, Kartikeya Mayaram, Terri S. Fiez A 350 mV, 5 GHz class-D enhanced swing quadrature VCO in 65 nm CMOS with 198.3 dBc/Hz FoM. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Kun-Da Chu, Ying-Tsang Lu, Chao-Wei Wang, Chih-Ming Hung, Meng-Chang Lee, Shih-Chieh Yen A fully integrated translational tracking filter with >40dB blocker attenuation and >68dB harmonic rejection in 40nm for Digital TV tuner applications. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ramnath Venkatraman, Richard Guo Challenges for analog nanoscale technology. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Rakesh Kumar Palani, Ramesh Harjani High linearity PVT tolerant 100MS/s rail-to-rail ADC driver with built-in sampler in 65nm CMOS. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Elnaz Ansari, David D. Wentzloff A 5mW 250MS/s 12-bit synthesized digital to analog converter. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Vaibhav Karkare, Hariprasad Chandrakumar, Dejan Rozgic, Dejan Markovic Robust, reconfigurable, and power-efficient biosignal recording systems. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Debajit Bhattacharya, Rajiv V. Joshi, Herschel A. Ainspan, Ninad D. Sathaye, Mohit Bajaj, Suresh Gundapaneni, Niraj K. Jha TCAD structure synthesis and capacitance extraction of a voltage-controlled oscillator using automated layout-to-device synthesis methodology. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Asad A. Abidi, Hao Xu 0005 Understanding the regenerative comparator circuit. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Xiaofei Wang, Weichao Xu, Chris H. Kim SRAM read performance degradation under asymmetric NBTI and PBTI stress: Characterization vehicle and statistical aging data. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1 Proceedings of the IEEE 2014 Custom Integrated Circuits Conference, CICC 2014, San Jose, CA, USA, September 15-17, 2014 Search on Bibsonomy CICC The full citation details ... 2014 DBLP  BibTeX  RDF
1Peng-Chang Huang, Wen-Chuen Liu, Yi-Chen Liu, Yeong-Chau Kuo, Tai-Haur Kuo An analog optimum torque control IC for a 200W wind energy conversion system with over 99% MPPT accuracy, 1.7% THDi and 0.99 power factor. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Changbyung Park, Tae-Hwang Kong, Gyu-Hyeong Cho Low drain voltage S/H type PWM LED current driver for BLU in mobile LCD. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Bo Wu 0009, Shuang Zhu, Yuan Zhou 0011, Yun Chiu A 9-bit 215-MS/s folding-flash time-to-digital converter based on redundant remainder number system. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Loai G. Salem, Patrick P. Mercier A 45-ratio recursively sliced series-parallel switched-capacitor DC-DC converter achieving 86% efficiency. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Mohammad Al-Shyoukh, Alex Kalnitsky A 500nA quiescent current, trim-free, ±1.75% absolute accuracy, CMOS-only voltage reference based on anti-doped N-channel MOSFETs. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Osama Elhadidy, Sherif Shakib, Keith Krenek, Samuel Palermo, Kamran Entesari A 0.18-μm CMOS fully integrated 0.7-6 GHz PLL-based complex dielectric spectroscopy system. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Lingamneni Avinash, Christian C. Enz, Krishna V. Palem, Christian Piguet Highly energy-efficient and quality-tunable inexact FFT accelerators. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Socrates D. Vamvakos, Charles Boecker, Eric Groen, Alvin Wang, Shaishav Desai, Scott Irwin, Vithal Rao, Aldo Bottelli, Jawji Chen, Xiaole Chen, Prashant Choudhary, Kuo-Chiang Hsieh, Paul Jennings, Haidang Lin, Dan Pechiu, Chethan Rao, Jason Yeung A 8.125-15.625 Gb/s SerDes using a sub-sampling ring-oscillator phase-locked loop. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
Displaying result #901 - #1000 of 3566 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license