Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
13 | Damiano Carra, Giovanni Neglia, Pietro Michiardi |
Elastic Provisioning of Cloud Caches: a Cost-aware TTL Approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1802.04696, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP BibTeX RDF |
|
13 | Claire Maïza, Valentin Touzeau, David Monniaux, Jan Reineke 0001 |
Fast and exact analysis for LRU caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1811.01670, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP BibTeX RDF |
|
13 | E. Wes Bethel, Brian Tierney, Jason Lee 0001, Dan Gunter, Stephen Lau |
Using High-Speed WANs and Network Data Caches to Enable Remote and Distributed Visualization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1801.09504, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP BibTeX RDF |
|
13 | Kai Wan, Mingyue Ji, Pablo Piantanida, Daniela Tuninetti |
On the Benefits of Asymmetric Coded Cache Placement in Combination Networks with End-User Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1802.10474, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP BibTeX RDF |
|
13 | Emanuele Parrinello, Ayse Ünsal, Petros Elia |
Coded Caching with Shared Caches: Fundamental Limits with Uncoded Prefetching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1809.09422, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP BibTeX RDF |
|
13 | Kai Wan, Daniela Tuninetti, Mingyue Ji, Pablo Piantanida |
A Novel Asymmetric Coded Placement in Combination Networks with end-user Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1802.10481, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP BibTeX RDF |
|
13 | Shounak Chakraborty 0001, Hemangee K. Kapoor |
Analysing the Role of Last Level Caches in Controlling Chip Temperature. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Sustain. Comput. ![In: IEEE Trans. Sustain. Comput. 3(4), pp. 289-305, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
13 | Irina Alam, Clayton Schoeny, Lara Dolecek, Puneet Gupta 0001 |
Parity++: Lightweight Error Correction for Last Level Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSN Workshops ![In: 48th Annual IEEE/IFIP International Conference on Dependable Systems and Networks Workshops, DSN Workshops 2018, Luxembourg, June 25-28, 2018, pp. 114-120, 2018, IEEE Computer Society, 978-1-5386-6553-4. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
13 | Eric M. Taylor, Daniel W. Chang |
Studying Victim Caches in GPUs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDP ![In: 26th Euromicro International Conference on Parallel, Distributed and Network-based Processing, PDP 2018, Cambridge, United Kingdom, March 21-23, 2018, pp. 394-398, 2018, IEEE Computer Society, 978-1-5386-4975-6. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
13 | Hongjie Xu, Jun Shiomi, Tohru Ishihara, Hidetoshi Onodera |
Maximizing Energy Efficiency of on-Chip Caches Exploiting Hybrid Memory Structure. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PATMOS ![In: 28th International Symposium on Power and Timing Modeling, Optimization and Simulation, PATMOS 2018, Platja d'Aro, Spain, July 2-4, 2018, pp. 237-242, 2018, IEEE, 978-1-5386-6365-3. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
13 | Joachim Fellmuth, Thomas Göthel, Sabine Glesner |
Instruction Caches in Static WCET Analysis of Artificially Diversified Software. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECRTS ![In: 30th Euromicro Conference on Real-Time Systems, ECRTS 2018, July 3-6, 2018, Barcelona, Spain, pp. 21:1-21:23, 2018, Schloss Dagstuhl - Leibniz-Zentrum für Informatik, 978-3-95977-075-0. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
13 | Geonhee Lee, Hyeon Gyu Lee, Juwon Lee, Bryan S. Kim, Sang Lyul Min |
An Empirical Study on NVM-based Block I/O Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APSys ![In: Proceedings of the 9th Asia-Pacific Workshop on Systems, APSys 2018, Jeju Island, Republic of Korea, August 27-28, 2018, pp. 11:1-11:8, 2018, ACM. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
13 | Eman Ramadan, Pariya Babaie, Zhi-Li Zhang |
A Framework for Evaluating Caching Policies in a Hierarchical Network of Caches. (PDF / PS) ![Search on Bibsonomy](Pics/bibsonomy.png) |
Networking ![In: 17th International IFIP TC6 Networking Conference, Networking 2018, Zurich, Switzerland, May 14-16, 2018., pp. 451-459, 2018, IFIP, 978-3-903176-08-9. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
13 | Pedro Benedicte, Carles Hernández 0001, Jaume Abella 0001, Francisco J. Cazorla |
Design and integration of hierarchical-placement multi-level caches for real-time systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2018 Design, Automation & Test in Europe Conference & Exhibition, DATE 2018, Dresden, Germany, March 19-23, 2018, pp. 455-460, 2018, IEEE, 978-3-9819263-0-9. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
13 | Zahra Azad, Hamed Farbeh, Amir Mahdi Hosseini Monazzah |
ORIENT: Organized interleaved ECCs for new STT-MRAM caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2018 Design, Automation & Test in Europe Conference & Exhibition, DATE 2018, Dresden, Germany, March 19-23, 2018, pp. 1187-1190, 2018, IEEE, 978-3-9819263-0-9. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
13 | Anuj Pathania, Jörg Henkel |
Task scheduling for many-cores with S-NUCA caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2018 Design, Automation & Test in Europe Conference & Exhibition, DATE 2018, Dresden, Germany, March 19-23, 2018, pp. 557-562, 2018, IEEE, 978-3-9819263-0-9. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
13 | Ashwini A. Kulkarni, Chirag Joshi, Khushboo Rani, Sukarn Agarwal, Shrinivas P. Mahajan, Hemangee K. Kapoor |
Towards Analysing the Effect of Snoozy Caches on the Temperature of Tiled Chip Multi-Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISED ![In: 8th International Symposium on Embedded Computing and System Design, ISED 2018, Cochin, India, December 13-15, 2018, pp. 230-235, 2018, IEEE, 978-1-5386-6575-6. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
13 | Amna Shahab, Mingcan Zhu, Artemiy Margaritov, Boris Grot |
Farewell My Shared LLC! A Case for Private Die-Stacked DRAM Caches for Servers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 51st Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 2018, Fukuoka, Japan, October 20-24, 2018, pp. 559-572, 2018, IEEE Computer Society, 978-1-5386-6240-3. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
13 | Tianhao Zheng, Haishan Zhu, Mattan Erez |
SIPT: Speculatively Indexed, Physically Tagged Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: IEEE International Symposium on High Performance Computer Architecture, HPCA 2018, Vienna, Austria, February 24-28, 2018, pp. 118-130, 2018, IEEE Computer Society, 978-1-5386-3659-6. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
13 | Amogh Agrawal, Kaushik Roy 0001 |
RECache: ROM-Embedded 8-Transistor SRAM Caches for Efficient Neural Computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SiPS ![In: 2018 IEEE International Workshop on Signal Processing Systems, SiPS 2018, Cape Town, South Africa, October 21-24, 2018, pp. 19-24, 2018, IEEE, 978-1-5386-6318-9. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
13 | Go Nakagawa, Yusuke Ito, Yurino Sato, Hiroyuki Koga |
An Effective Scheme to Utilize Caches for Streaming Services in Mobile CCN. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPCCC ![In: 37th IEEE International Performance Computing and Communications Conference, IPCCC 2018, Orlando, FL, USA, November 17-19, 2018, pp. 1-2, 2018, IEEE, 978-1-5386-6808-5. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
13 | Giovanni Neglia |
Implicit Coordination of Caches in Small Cell Networks under Unknown Popularity Profiles. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EdgeTech@MobiCom ![In: Proceedings of the 2018 on Technologies for the Wireless Edge Workshop, EdgeTech@MobiCom 2018, New Delhi, India, November 2, 2018, pp. 1, 2018, ACM, 978-1-4503-5931-3. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
13 | Kai Wan, Mingyue Ji, Pablo Piantanida, Daniela Tuninetti |
On the Benefits of Asymmetric Coded Cache Placement in Combination Networks with End-User Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISIT ![In: 2018 IEEE International Symposium on Information Theory, ISIT 2018, Vail, CO, USA, June 17-22, 2018, pp. 1550-1554, 2018, IEEE, 978-1-5386-4781-3. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
13 | Brvan Donvanavard, Amir Mahdi Hosseini Monazzah, Nikil D. Dutt, Tiago Mück |
Exploring Hybrid Memory Caches in Chip Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReCoSoC ![In: 13th International Symposium on Reconfigurable Communication-centric Systems-on-Chip, ReCoSoC 2018, Lille, France, July 9-11, 2018, pp. 1-8, 2018, IEEE, 978-1-5386-7957-9. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
13 | Antti Heikkinen |
Network-assisted DASH by Utilizing Local Caches at Network Edge. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SoftCOM ![In: 26th International Conference on Software, Telecommunications and Computer Networks, SoftCOM 2018, Split, Croatia, September 13-15, 2018, pp. 1-6, 2018, IEEE, 978-9-5329-0087-3. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
13 | Yuanxing Zhang, Kaigui Bian, Hu Tuo, Bin Cui 0001, Lingyang Song, Xiaoming Li 0001 |
Geo-Edge: Geographical Resource Allocation on Edge Caches for Video-on-Demand Streaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
BigCom ![In: 4th International Conference on Big Data Computing and Communications, BigCom 2018, Chicago, IL, USA, August 7-9, 2018, pp. 189-194, 2018, IEEE Computer Society, 978-1-5386-8021-6. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
13 | Sean Rea, Ehsan Atoofian |
Mitigating Critical Path Decompression Latency in Compressed L1 Data Caches Via Prefetching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS Workshops ![In: 2018 IEEE International Parallel and Distributed Processing Symposium Workshops, IPDPS Workshops 2018, Vancouver, BC, Canada, May 21-25, 2018, pp. 694-701, 2018, IEEE Computer Society, 978-1-5386-5555-9. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
13 | Eric Guthmuller, César Fuguet Tortolero, Pascal Vivet, Christian Bernard, Ivan Miro Panades, Jean Durupt, E. Beignc, Didier Lattard, Séverine Cheramy, Alain Greiner, Quentin L. Meunier, Pirouz Bazargan-Sabet |
A 29 Gops/Watt 3D-Ready 16-Core Computing Fabric with Scalable Cache Coherent Architecture Using Distributed L2 and Adaptive L3 Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESSCIRC ![In: 44th IEEE European Solid State Circuits Conference, ESSCIRC 2018, Dresden, Germany, September 3-6, 2018, pp. 318-321, 2018, IEEE, 978-1-5386-5404-0. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
13 | Alaa R. Alameldeen, Rajat Agarwal |
Opportunistic compression for direct-mapped DRAM caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MEMSYS ![In: Proceedings of the International Symposium on Memory Systems, MEMSYS 2018, Old Town Alexandria, VA, USA, October 01-04, 2018, pp. 129-136, 2018, ACM, 978-1-4503-6475-1. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
13 | Chao Yan, Russ Joseph |
Cocoa: synergistic cache compression and error correction in capacity sensitive last level caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MEMSYS ![In: Proceedings of the International Symposium on Memory Systems, MEMSYS 2018, Old Town Alexandria, VA, USA, October 01-04, 2018, pp. 117-128, 2018, ACM, 978-1-4503-6475-1. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
13 | Yehia Arafa, Abdel-Hameed A. Badawy, Gopinath Chennupati, Nandakishore Santhi, Stephan J. Eidenbenz |
PPT-GPU: performance prediction toolkit for GPUs identifying the impact of caches: extended abstract. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MEMSYS ![In: Proceedings of the International Symposium on Memory Systems, MEMSYS 2018, Old Town Alexandria, VA, USA, October 01-04, 2018, pp. 301-302, 2018, ACM, 978-1-4503-6475-1. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
13 | Emmanuel Ofori-Attah, Xiaohang Wang 0001, Michael Opoku Agyeman |
A Survey of Low Power Design Techniques for Last Level Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ARC ![In: Applied Reconfigurable Computing. Architectures, Tools, and Applications - 14th International Symposium, ARC 2018, Santorini, Greece, May 2-4, 2018, Proceedings, pp. 217-228, 2018, Springer, 978-3-319-78889-0. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
13 | Giuliano Casale |
Analyzing Replacement Policies in List-Based Caches with Non-Uniform Access Costs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
INFOCOM ![In: 2018 IEEE Conference on Computer Communications, INFOCOM 2018, Honolulu, HI, USA, April 16-19, 2018, pp. 432-440, 2018, IEEE, 978-1-5386-4128-6. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
13 | Masayuki Sato 0001, Zehua Li, Ryusuke Egawa, Hiroaki Kobayashi |
An energy-aware set-level refreshing mechanism for eDRAM last-level caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COOL CHIPS ![In: 2018 IEEE Symposium in Low-Power and High-Speed Chips, COOL CHIPS 2018, Yokohama, Japan, April 18-20, 2018, pp. 1-3, 2018, IEEE Computer Society, 978-1-5386-6103-1. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
13 | Michael A. Sevilla, Carlos Maltzahn, Peter Alvaro, Reza Nasirigerdeh, Bradley W. Settlemyer, Danny Perez, David Rich, Galen M. Shipman |
Programmable Caches with a Data Management Language and Policy Engine. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCGrid ![In: 18th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing, CCGRID 2018, Washington, DC, USA, May 1-4, 2018, pp. 203-212, 2018, IEEE Computer Society, 978-1-5386-5815-4. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
13 | Elvira Teran, Zeshan Chishti, Zhe Wang 0023, Chris Wilkerson, Daniel A. Jiménez |
Flexible associativity for DRAM caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CF ![In: Proceedings of the 15th ACM International Conference on Computing Frontiers, CF 2018, Ischia, Italy, May 08-10, 2018, pp. 88-96, 2018, ACM. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
13 | Shaohui Kuang, Deyi Xiong, Weihua Luo, Guodong Zhou |
Modeling Coherence for Neural Machine Translation with Dynamic and Topic Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COLING ![In: Proceedings of the 27th International Conference on Computational Linguistics, COLING 2018, Santa Fe, New Mexico, USA, August 20-26, 2018, pp. 596-606, 2018, Association for Computational Linguistics, 978-1-948087-50-6. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP BibTeX RDF |
|
13 | Xiang Pan, Anys Bacha, Spencer Rudolph, Li Zhou, Yinqian Zhang, Radu Teodorescu |
NVCool: When Non-Volatile Caches Meet Cold Boot Attacks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 36th IEEE International Conference on Computer Design, ICCD 2018, Orlando, FL, USA, October 7-10, 2018, pp. 439-448, 2018, IEEE Computer Society, 978-1-5386-8477-1. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
13 | Anteneh Gebregiorgis, Mehdi Baradaran Tahoori |
Reliability And Performance Challenges Of Ultra-Low Voltage Caches: A Trade-Off Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IOLTS ![In: 24th IEEE International Symposium on On-Line Testing And Robust System Design, IOLTS 2018, Platja D'Aro, Spain, July 2-4, 2018, pp. 265-268, 2018, IEEE, 978-1-5386-5992-2. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
13 | Ashwini A. Kulkarni, Shounak Chakraborty 0001, Shrinivas P. Mahajan, Hemangee K. Kapoor |
Utility Aware Snoozy Caches for Energy Efficient Chip Multi-Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 2018 on Great Lakes Symposium on VLSI, GLSVLSI 2018, Chicago, IL, USA, May 23-25, 2018, pp. 249-254, 2018, ACM. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
13 | Suhit Pai, Newton Singh, Virendra Singh |
AB-Aware: Application Behavior Aware Management of Shared Last Level Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 2018 on Great Lakes Symposium on VLSI, GLSVLSI 2018, Chicago, IL, USA, May 23-25, 2018, pp. 237-242, 2018, ACM. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
13 | João Vieira, Nuno Roma, Pedro Tomás, Paolo Ienne, Gabriel Falcão Paiva Fernandes |
Exploiting Compute Caches for Memory Bound Vector Operations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBAC-PAD ![In: 30th International Symposium on Computer Architecture and High Performance Computing, SBAC-PAD 2018, Lyon, France, September 24-27, 2018, pp. 197-200, 2018, IEEE, 978-1-5386-7769-8. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
13 | Ashwini A. Kulkarni, Khushboo Rani, Sukarn Agarwal, Shrinivas P. Mahajan, Hemangee K. Kapoor |
Towards Analysing the Effect of Hybrid Caches on the Temperature of Tiled Chip Multi-Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
iSES ![In: IEEE International Symposium on Smart Electronic Systems, iSES 2018 (Formerly iNiS), Hyderabad, India, December 17-19, 2018, pp. 52-57, 2018, IEEE, 978-1-5386-9172-4. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
13 | Damiano Carra, Giovanni Neglia, Pietro Michiardi |
Elastic Provisioning of Cloud Caches: a Cost-aware TTL Approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SoCC ![In: Proceedings of the ACM Symposium on Cloud Computing, SoCC 2018, Carlsbad, CA, USA, October 11-13, 2018, pp. 526, 2018, ACM, 978-1-4503-6011-1. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
13 | Kai Wan, Daniela Tuninetti, Mingyue Ji, Pablo Piantanida |
A Novel Asymmetric Coded Placement in Combination Networks with End-User Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITA ![In: 2018 Information Theory and Applications Workshop, ITA 2018, San Diego, CA, USA, February 11-16, 2018, pp. 1-5, 2018, IEEE, 978-1-7281-0124-8. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
13 | Yijie Huangfu, Wei Zhang 0002 |
WCET Analysis of GPU L1 Data Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPEC ![In: 2018 IEEE High Performance Extreme Computing Conference, HPEC 2018, Waltham, MA, USA, September 25-27, 2018, pp. 1-7, 2018, IEEE, 978-1-5386-5989-2. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
13 | Dennis Grewe, Marco Wagner, Sebastian Schildt, Mayutan Arumaithurai, Hannes Frey |
Caching-as-a-Service in Virtualized Caches for Information-Centric Connected Vehicle Environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VNC ![In: 2018 IEEE Vehicular Networking Conference, VNC 2018, Taipei, Taiwan, December 5-7, 2018, pp. 1-8, 2018, IEEE, 978-1-5386-9428-2. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
13 | Vinson Young, Chia-Chen Chou, Aamer Jaleel, Moinuddin K. Qureshi |
ACCORD: Enabling Associativity for Gigascale DRAM Caches by Coordinating Way-Install and Way-Prediction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 45th ACM/IEEE Annual International Symposium on Computer Architecture, ISCA 2018, Los Angeles, CA, USA, June 1-6, 2018, pp. 328-339, 2018, IEEE Computer Society, 978-1-5386-5984-7. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
13 | Mayank Parasar, Abhishek Bhattacharjee, Tushar Krishna |
SEESAW: Using Superpages to Improve VIPT Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 45th ACM/IEEE Annual International Symposium on Computer Architecture, ISCA 2018, Los Angeles, CA, USA, June 1-6, 2018, pp. 193-206, 2018, IEEE Computer Society, 978-1-5386-5984-7. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
13 | Shahram Ghandeharizadeh, Haoyu Huang |
Gemini: A Distributed Crash Recovery Protocol for Persistent Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Middleware ![In: Proceedings of the 19th International Middleware Conference, Middleware 2018, Rennes, France, December 10-14, 2018, pp. 134-145, 2018, ACM, 978-1-4503-5702-9. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
13 | Woo-Cheol Kwon |
Co-design of on-chip caches and networks for scalable shared-memory many-core CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2018 |
RDF |
|
13 | Viet Anh Nguyen |
Ordonnancement temps-réel conscient des caches dans des architectures multi-cœurs: algorithmes et réalisation. (Cache-conscious Off-Line Real-Time Scheduling for Multi-Core Platforms: Algorithms and Implementation). ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2018 |
RDF |
|
13 | Guillaume Brogi |
Real-time detection of Advanced Persistent Threats using Information Flow Tracking and Hidden Markov Models. (Détection temps réel de Menaces Persistantes Avancées par Suivi de Flux d'Information et Modèles de Markov Cachés). ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2018 |
RDF |
|
13 | Pierre-Yves Péneau |
Intégration de technologies de mémoires non volatiles émergentes dans la hiérarchie de caches pour améliorer l'efficacité énergétique. (Integration of emerging non volatile memory in the cache hierarchy for energy-efficiency improvement). ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2018 |
RDF |
|
13 | Eloi de Chérisey |
Towards a better formalisation of the side-channel threat. (Vers une meilleure formalisation des attaques par canaux cachés). ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2018 |
RDF |
|
13 | Giulia De Santis |
Modeling and Recognizing Network Scanning Activities with Finite Mixture Models and Hidden Markov Models. (Modélisation et reconnaissance des activités de balayage du réseau à l'aide de modèles à mélange fini et de modèles de Markov cachés). ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2018 |
RDF |
|
13 | Yunyun Zhu |
Caches, Transactions and Memories: Models, Coherence and Consistency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2018 |
RDF |
|
13 | Nathan Beckmann, Daniel Sánchez 0003 |
Cache Calculus: Modeling Caches through Differential Equations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Comput. Archit. Lett. ![In: IEEE Comput. Archit. Lett. 16(1), pp. 1-5, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
13 | Mohammad Bakhshalipour, Pejman Lotfi-Kamran, Hamid Sarbazi-Azad |
An Efficient Temporal Data Prefetcher for L1 Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Comput. Archit. Lett. ![In: IEEE Comput. Archit. Lett. 16(2), pp. 99-102, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
13 | Abdel-Hameed A. Badawy, Gabriel Yessin, Vikram K. Narayana, David Mayhew, Tarek A. El-Ghazawi |
Optimizing thin client caches for mobile cloud computing: : Design space exploration using genetic algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Concurr. Comput. Pract. Exp. ![In: Concurr. Comput. Pract. Exp. 29(11), 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
13 | Stavros Volos, Djordje Jevdjic, Babak Falsafi, Boris Grot |
Fat Caches for Scale-Out Servers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 37(2), pp. 90-103, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
13 | Weibo Chu, Li-Fang Wang, Ze-Jun Jiang, Alan Chin-Chen Chang |
Protecting User Privacy in a Multi-Path Information-Centric Network Using Multiple Random-Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Comput. Sci. Technol. ![In: J. Comput. Sci. Technol. 32(3), pp. 585-598, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
13 | Xiuyuan Bi, Mengjie Mao, Danghui Wang, Hai Helen Li |
Cross-Layer Optimization for Multilevel Cell STT-RAM Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 25(6), pp. 1807-1820, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
13 | Hyeonggyu Kim, Soontae Kim, Jooheung Lee |
Write-Amount-Aware Management Policies for STT-RAM Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 25(4), pp. 1588-1592, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
13 | Prathap Kumar Valsan, Heechul Yun, Farzad Farshchi |
Addressing isolation challenges of non-blocking caches for multicore real-time systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Real Time Syst. ![In: Real Time Syst. 53(5), pp. 673-708, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
13 | Jianhua Li 0003, Minming Li, Chun Jason Xue, Yiming Ouyang, Fanfan Shen |
Thread Criticality Assisted Replication and Migration for Chip Multiprocessor Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 66(10), pp. 1747-1762, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
13 | Xiameng Hu, Xiaolin Wang 0001, Lan Zhou, Yingwei Luo, Chen Ding 0001, Song Jiang 0001, Zhenlin Wang |
Optimizing Locality-Aware Memory Management of Key-Value Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 66(5), pp. 862-875, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
13 | Vicent Selfa, Julio Sahuquillo, Salvador Petit, María Engracia Gómez |
A Hardware Approach to Fairly Balance the Inter-Thread Interference in Shared Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 28(11), pp. 3021-3032, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
13 | Zahra Azad, Hamed Farbeh, Amir Mahdi Hosseini Monazzah, Seyed Ghassem Miremadi |
An Efficient Protection Technique for Last Level STT-RAM Caches in Multi-Core Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 28(6), pp. 1564-1577, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
13 | Aswinkumar Sridharan, André Seznec |
Dynamic and discrete cache insertion policies for managing shared last level caches in large multicores. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Parallel Distributed Comput. ![In: J. Parallel Distributed Comput. 106, pp. 215-226, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
13 | Joonho Kong, Young-Ho Gong, Sung Woo Chung |
Towards refresh-optimized EDRAM-based caches with a selective fine-grain round-robin refresh scheme. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microprocess. Microsystems ![In: Microprocess. Microsystems 49, pp. 95-104, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
13 | Joonho Kong, Young-Ho Gong |
An efficient trade-off between yield and energy for eDRAM caches under process variations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microprocess. Microsystems ![In: Microprocess. Microsystems 55, pp. 1-12, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
13 | Mainak Chaudhuri, Mukesh Agrawal 0001, Jayesh Gaur, Sreenivas Subramoney |
Micro-Sector Cache: Improving Space Utilization in Sectored DRAM Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 14(1), pp. 7:1-7:29, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
13 | Rahul Jain 0004, Preeti Ranjan Panda, Sreenivas Subramoney |
Cooperative Multi-Agent Reinforcement Learning-Based Co-optimization of Cores, Caches, and On-chip Network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 14(4), pp. 32:1-32:25, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
13 | Yohan Ko, Reiley Jeyapaul, Youngbin Kim, Kyoungwoo Lee, Aviral Shrivastava |
Protecting Caches from Soft Errors: A Microarchitect's Perspective. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 16(4), pp. 93:1-93:28, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
13 | Eldhose Peter, Anuj Arora, Janibul Bashir, Akriti Bagaria, Smruti R. Sarangi |
Optical Overlay NUCA: A High-Speed Substrate for Shared L2 Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM J. Emerg. Technol. Comput. Syst. ![In: ACM J. Emerg. Technol. Comput. Syst. 13(4), pp. 53:1-53:25, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
13 | Chao Chen, Giovanni Beltrame |
An Adaptive Markov Model for the Timing Analysis of Probabilistic Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 23(1), pp. 12:1-12:24, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
13 | Christian Berthet |
Approximation of LRU Caches Miss Rate: Application to Power-law Popularities. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1705.10738, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP BibTeX RDF |
|
13 | Amin Jadidi, Mohammad Arjomand, Mahmut T. Kandemir, Chita R. Das |
A Study on Performance and Power Efficiency of Dense Non-Volatile Caches in Multi-Core Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1704.05044, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP BibTeX RDF |
|
13 | Joan S. Pujol Roig, Filippo Tosato, Deniz Gündüz |
Interference Networks with Caches at Both Ends. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1703.04349, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP BibTeX RDF |
|
13 | Kai Wan, Mingyue Ji, Pablo Piantanida, Daniela Tuninetti |
Combination Networks with Caches: Novel Inner and Outer Bounds with Uncoded Cache Placement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1701.06884, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP BibTeX RDF |
|
13 | George Kesidis |
Markovian models of Internet Caches including Closed-Form Stationary Distributions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1704.04849, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP BibTeX RDF |
|
13 | Antonious M. Girgis, Özgür Erçetin, Mohammed Nafie, Tamer A. ElBatt |
Degrees of Freedom of Interference Networks with Transmitter-Side Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1712.05957, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP BibTeX RDF |
|
13 | Bita Azimdoost, Cédric Westphal, Hamid R. Sadjadpour |
Resolution-Based Content Discovery in Network of Caches: Is the Control Traffic an Issue? ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1704.00781, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP BibTeX RDF |
|
13 | Sparsh Mittal |
Mitigating Read-disturbance Errors in STT-RAM Caches by Using Data Compression. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1711.06790, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP BibTeX RDF |
|
13 | Yatish Turakhia, Subhasis Das, Tor M. Aamodt, William J. Dally |
HoLiSwap: Reducing Wire Energy in L1 Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1701.03878, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP BibTeX RDF |
|
13 | Sarvar Patel, Giuseppe Persiano, Kevin Yeo |
CacheShuffle: An Oblivious Shuffle Algorithm Using Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1705.07069, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP BibTeX RDF |
|
13 | Bita Azimdoost, Cédric Westphal, Hamid R. Sadjadpour |
Resolution-Based Content Discovery in Network of Caches: Is the Control Traffic an Issue? ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Commun. ![In: IEEE Trans. Commun. 65(7), pp. 2943-2955, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
13 | Emilio Leonardi, Giovanni Luca Torrisi |
Modeling LEAST RECENTLY USED caches with Shot Noise request processes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIAM J. Appl. Math. ![In: SIAM J. Appl. Math. 77(2), pp. 361-383, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
13 | Sanem Arslan, Haluk Rahmi Topcuoglu, Mahmut Taylan Kandemir, Oguz Tosun |
A selective protection scheme of applications using asymmetrically reliable caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Syst. Archit. ![In: J. Syst. Archit. 75, pp. 133-144, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
13 | Stephan van Schaik, Kaveh Razavi, Ben Gras, Herbert Bos, Cristiano Giuffrida |
RevAnC: A Framework for Reverse Engineering Hardware Page Table Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUROSEC ![In: Proceedings of the 10th European Workshop on Systems Security, EUROSEC 2017, Belgrade, Serbia, April 23, 2017, pp. 3:1-3:6, 2017, ACM, 978-1-4503-4935-2. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
13 | Po-An Tsai, Nathan Beckmann, Daniel Sánchez 0003 |
Nexus: A New Approach to Replication in Distributed Shared Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: 26th International Conference on Parallel Architectures and Compilation Techniques, PACT 2017, Portland, OR, USA, September 9-13, 2017, pp. 166-179, 2017, IEEE Computer Society, 978-1-5090-6764-0. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
13 | Priyank Faldu, Boris Grot |
Leeway: Addressing Variability in Dead-Block Prediction for Last-Level Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: 26th International Conference on Parallel Architectures and Compilation Techniques, PACT 2017, Portland, OR, USA, September 9-13, 2017, pp. 180-193, 2017, IEEE Computer Society, 978-1-5090-6764-0. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
13 | Arun Subramaniyan 0001, Jingcheng Wang, Ezhil R. M. Balasubramanian, David T. Blaauw, Dennis Sylvester, Reetuparna Das |
Cache Automaton: Repurposing Caches for Automata Processing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: 26th International Conference on Parallel Architectures and Compilation Techniques, PACT 2017, Portland, OR, USA, September 9-13, 2017, pp. 373, 2017, IEEE Computer Society, 978-1-5090-6764-0. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
13 | Amit Klein 0001, Haya Schulmann, Michael Waidner |
Counting in the Dark: DNS Caches Discovery and Enumeration in the Internet. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSN ![In: 47th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, DSN 2017, Denver, CO, USA, June 26-29, 2017, pp. 367-378, 2017, IEEE Computer Society, 978-1-5386-0542-4. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
13 | Tobias Blaß, Sebastian Hahn 0001, Jan Reineke 0001 |
Write-Back Caches in WCET Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECRTS ![In: 29th Euromicro Conference on Real-Time Systems, ECRTS 2017, June 27-30, 2017, Dubrovnik, Croatia, pp. 26:1-26:22, 2017, Schloss Dagstuhl - Leibniz-Zentrum für Informatik, 978-3-95977-037-8. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
13 | Shirshendu Das, Hemangee K. Kapoor |
Latency Aware Block Replacement for L1 Caches in Chip Multiprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVLSI ![In: 2017 IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2017, Bochum, Germany, July 3-5, 2017, pp. 182-187, 2017, IEEE Computer Society, 978-1-5090-6762-6. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
13 | Sukarn Agarwal, Hemangee K. Kapoor |
Lifetime Enhancement of Non-Volatile Caches by Exploiting Dynamic Associativity Management Techniques. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI-SoC (Selected Papers) ![In: VLSI-SoC: Opportunities and Challenges Beyond the Internet of Things - 25th IFIP WG 10.5/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2017, Abu Dhabi, United Arab Emirates, October 23-25, 2017, Revised and Extended Selected Papers, pp. 46-71, 2017, Springer, 978-3-030-15662-6. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
13 | Leo Hatvani, Reinder J. Bril, Sebastian Altmeyer |
Schedulability using native non-preemptive groups on an AUTOSAR/OSEK platform with caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation & Test in Europe Conference & Exhibition, DATE 2017, Lausanne, Switzerland, March 27-31, 2017, pp. 244-249, 2017, IEEE, 978-3-9815370-8-6. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
13 | Xiaodong Wang, Shuang Chen 0002, Jeff Setter, José F. Martínez |
SWAP: Effective Fine-Grain Management of Shared Last-Level Caches with Minimum Hardware Support. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 2017 IEEE International Symposium on High Performance Computer Architecture, HPCA 2017, Austin, TX, USA, February 4-8, 2017, pp. 121-132, 2017, IEEE Computer Society, 978-1-5090-4985-1. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|