The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "DDECS"( http://dblp.L3S.de/Venues/DDECS )

URL (DBLP): http://dblp.uni-trier.de/db/conf/ddecs

Publication years (Num. hits)
2006 (79) 2007 (80) 2008 (74) 2009 (61) 2010 (92) 2011 (92) 2012 (85) 2013 (68) 2014 (67) 2015 (60) 2016 (46) 2017 (38) 2018 (31) 2019 (36) 2020 (35) 2021 (32) 2022 (31) 2023 (36)
Publication types (Num. hits)
inproceedings(1025) proceedings(18)
Venues (Conferences, Journals, ...)
DDECS(1043)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 1043 publication records. Showing 1043 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Antti Rasmus, Ari Kulmala, Erno Salminen, Timo D. Hämäläinen IP Integration Overhead Analysis in System-on-Chip Video Encoder. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Vytautas Dumbrava, Linas Svilainis RF Transformer Model Parameters Measurement. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Philipp Öhler, Sybille Hellebrand, Hans-Joachim Wunderlich Analyzing Test and Repair Times for 2D Integrated Memory Built-in Test and Repair. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Tomasz Garbolino, Krzysztof Gucwa, Michal Kopec, Andrzej Hlawiczka Avoiding Crosstalk Influence on Interconnect Delay Fault Testing. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Maria Gkatziani, Rohit Kapur, Qing Su, Ben Mathew, Roberto Mattiuzzo, Laura Tarantini, Cy Hay, Salvatore Talluto 0001, Thomas W. Williams Accurately Determining Bridging Defects from Layout. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Patrick Girard, Andrzej Krasniewski, Elena Gramatová, Adam Pawlak, Tomasz Garbolino (eds.) Proceedings of the 10th IEEE Workshop on Design & Diagnostics of Electronic Circuits & Systems (DDECS 2007), Kraków, Poland, April 11-13, 2007 Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  BibTeX  RDF
1Santiago De Pablo, Santiago Cáceres, Jesús A. Cebrián, Manuel Berrocal A Proposal for ASM++ Diagrams. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Ari Kulmala, Erno Salminen, Timo D. Hämäläinen Instruction Memory Architecture Evaluation on Multiprocessor FPGA MPEG-4 Encoder. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Jim Tørresen, Jorgen Norendal, Kyrre Glette Establishing a New Course in Reconfigurable Logic System Design. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Sergei B. Musin, Alexander A. Ivaniuk, Vyacheslav N. Yarmolik Multiple Errors Detection Technique for RAM. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Gyula Bakonyi-Kiss, Zoltán Szucs Low Cost, Low Power, Intelligent Brake Temperature Sensor System for Automotive Applications. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Martin Simlastík, Viera Stopjaková, Libor Majer, Peter Malík Clockless Implementation of LEON2 for Low-Power Applications. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Jorge Semião, Judit Freijedo, Juan J. Rodríguez-Andina, Fabian Vargas 0001, Marcelino B. Santos, Isabel C. Teixeira, João Paulo Teixeira 0001 Improving Tolerance to Power-Supply and Temperature Variations in Synchronous Circuits. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Ernest Jamro, Maciej Wielgosz, Kazimierz Wiatr FPGA Implementation of Strongly Parallel Histogram Equalization. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1René Kothe, Heinrich Theodor Vierhaus Flip-Flops and Scan-Path Elements for Nanoelectronics. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Petr Struhovský, Ondrej Subrt, Jirí Hospodka, Pravoslav Martínek Developing Virtual ADC Testing Environment in MAPLE. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Zhicheng Liang, Makoto Ikeda, Kunihiro Asada Analysis of Noise Margins Due to Device Parameter Variations in Sub-100nm CMOS Technology. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Valeria Sipala, Domenico Lo Presti, Nunzio Randazzo, Luigi Caponetto A PMT Interface for the Optical Module Front-end of a Neutrino Underwater Telescope. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Roman P. Bazylevych, Ihor Podolskyy, Lubov Bazylevych Partitioning Optimization by Recursive Moves of Hierarchically Built Clusters. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Oscar Ruano, Pilar Reyes, Juan Antonio Maestro, Luca Sterpone, Pedro Reviriego An Experimental Analysis of SEU Sensitiveness on System Knowledge-based Hardening Techniques. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Pavel Kubalík, Jirí Kvasnicka, Hana Kubátová Fault Injection and Simulation for Fault Tolerant Reconfigurable Duplex System. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Chiou-Kou Tung, Yu-Cherng Hung, Shao-Hui Shieh, Guo-Shing Huang A Low-Power High-Speed Hybrid CMOS Full Adder for Embedded System. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Ajoy Kumar Palit, Kishore K. Duganapalli, Walter Anheier XSIM: An Efficient Crosstalk Simulator for Analysis and Modeling of Signal Integrity Faults in Both Defective and Defect-free Interconnects. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Fabrício Vivas Andrade, Márcia C. M. Oliveira, Antônio Otávio Fernandes, Claudionor José Nunes Coelho Jr. SAT-Based Equivalence Checking Based on Circuit Partitioning and Special Approaches for Conflict Clause Reuse. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Jiri Jenícek, Ondrej Novák Test Pattern Compression Based on Pattern Overlapping. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Ireneusz Brzozowski, Andrzej Kos Two-level Logic Synthesis for Low Power Based on New Model of Power Dissipation. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Matthias Bucher, Antonios Bazigos, Wladyslaw Grabinski Determining MOSFET Parameters in Moderate Inversion. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Zaid Al-Ars, Said Hamdioui, Georgi Gaydadjiev Manifestation of Precharge Faults in High Speed DRAM Devices. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Jim Tørresen, Thor Arne Lovland Parts Obsolescence Challenges for the Electronics Industry. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Lukás Sekanina Design and Analysis of a New Self-Testing Adder which Utilizes Polymorphic Gates. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Khalil Arshak, Francis Adepoju, Essa Jafer Simulation and Characterization of Wireless Data Acquisition RF Systems for Medical Diagnostic Application. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre A Novel Parity Bit Scheme for SBox in AES Circuits. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Costin Cepisca, Sorin Dan Grigorescu, Mircea Covrig, Horia Andrei About the Efficiency of Real Time Sequences FFT Computing. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Daniel Tille, Görschwin Fey, Rolf Drechsler Instance Generation for SAT-based ATPG. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Marc Herbstritt, Bernd Becker 0001, Erika Ábrahám, Christian Herde On Variable Selection in SAT-LP-based Bounded Model Checking of Linear Hybrid Automata. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Saibal Mukhopadhyay, Qikai Chen, Kaushik Roy 0001 Memories in Scaled Technologies: A Review of Process Induced Failures, Test Methodologies, and Fault Tolerance. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Aristides Efthymiou Redundancy and Test-Pattern Generation for Asynchronous Quasi-Delay-Insensitive Combinational Circuits. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Alexandre Rousset, Alberto Bosio, Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch, Arnaud Virazel A Mixed Approach for Unified Logic Diagnosis. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Lukas Ruckay, Jiri Nedved Algorithm for DRM Signal Recognition in Time Domain and Hardware Realization. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Wlodzimierz Jonca Open Defects Caused by Scratches and Yield Modelling in Deep Sub-micron Integrated Circuit. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Manuel G. Gericota, Luís F. Lemos, Gustavo R. Alves, José M. Ferreira 0001 A Framework for Self-Healing Radiation-Tolerant Implementations on Reconfigurable FPGAs. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Artur L. Sobczyk, Arkadiusz W. Luczyk, Witold A. Pleskacz Power Dissipation in Basic Global Clock Distribution Networks. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Anders Larsson, Erik Larsson, Petru Eles, Zebo Peng A Heuristic for Concurrent SOC Test Scheduling with Compression and Sharing. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Jan Korenek, Petr Kobierský Intrusion Detection System Intended for Multigigabit Networks. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Ábel Vámos Quadrature-Phase Topology of a High Frequency Ring Oscillator. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Paolo Bernardi, Letícia Maria Veiras Bolzani, Matteo Sonza Reorda Extended Fault Detection Techniques for Systems-on-Chip. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Frank Rogin, Erhard Fehlauer, Christian Haufe, Sebastian Ohnewald Debug Patterns for Efficient High-level SystemC Debugging. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Daniel D. Gajski New Strategies for System-Level Design. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Zbigniew Piatek, Jerzy F. Kolodziejski, Witold A. Pleskacz ESD Failures of Integrated Circuits and Their Diagnostics Using Transmission Line Pulsing. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Mohammad Hossein Neishaburi, Mohammad Reza Kakoee, Masoud Daneshtalab, Saeed Safari, Zainalabedin Navabi A HW/SW Architecture to Reduce the Effects of Soft-Errors in Real-Time Operating System Services. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Khalil Arshak, Essa Jafer, Christian Ibala Power Testing of an FPGA-based System Using Modelsim Code Coverage Capability. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Pawel Pawlowski, Adam Dabrowski, Mario Schölzel Proposal of VLIW Architecture for Application Specific Processors with Built-in-Self-Repair Facility via Variable Accuracy Arithmetic. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1András Timár, Márta Rencz Design Issues of a Low Frequency Low-Pass Filter for Medical Applications Using CMOS Technology. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Rung-Bin Lin, Da-Wei Hsu, Ming-Hsine Kuo, Meng-Chiou Wu Reticle Exposure Plans for Multi-Project Wafers. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Thomas O. Shea, Ian Andrew Grout, Jeffrey Ryan Memory Based Analogue Signal Generation Implementation Issues for BIST. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Radoslaw Czarnecki, Stanislaw Deniziak Resource Constrained Co-synthesis of Self-reconfigurable SOPCs. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Pawel Russek, Kazimierz Wiatr Dedicated Architecture for Double Precision Matrix Multiplication in Supercomputing Environment. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Vladimir Havel, Karel K. Vlcek Feasibility of Image Compression in FPGA-based Neural Networks. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Piotr Buciak, Jakub Botwicz Lightweight Multi-threaded Network Processor Core in FPGA. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Eduardas Bareisa, Vacius Jusas, Kestutis Motiejunas, Rimantas Seinauskas Transition Faults Testing Based on Functional Delay Tests. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Gurgen Harutunyan, Valery A. Vardanian, Yervant Zorian A March-based Fault Location Algorithm with Partial and Full Diagnosis for All Simple Static Faults in Random Access Memories. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Tomás Martínek, Otto Fucík, Patrik Beck, Matej Lexa Automatic Generation of Circuits for Approximate String Matching. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Tomasz Golonek, Damian Grzechca, Jerzy Rutkowski Evolutionary System for Analog Test Frequencies Selection with Fuzzy Initialization. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  BibTeX  RDF
1Luigi Dilillo, Bashir M. Al-Hashimi March CRF: an Efficient Test for Complex Read Faults in SRAM Memories. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Ketan Paranjape Multi-Site Collaboration in System on Chip Design and Validation: The Intel Experience. Search on Bibsonomy DDECS The full citation details ... 2006 DBLP  BibTeX  RDF
1Martin Stáva, Ondrej Novák HW Implementation of the Backtrace Algorithm with Conflict-Driven Dynamic Reconfiguration. Search on Bibsonomy DDECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Geguang Pu, Jifeng He 0001, Zongyan Qiu An Optimal Lower-Bound Algorithm for the High-Level Synthesis Scheduling Problem. Search on Bibsonomy DDECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Reouven Elbaz, Lionel Torres, Gilles Sassatelli, Pierre Guillemin, Michel Bardouillet PE-ICE: Parallelized Encryption and Integrity Checking Engine. Search on Bibsonomy DDECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Johannes Goplen Lomsdalen, Renè Jensen, Yngvar Berg Multiple Valued Counter. Search on Bibsonomy DDECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Félix Tobajas, Roberto Esper-Chaín, Raúl Regidor, Octavio Santana, Roberto Sarmiento A Low Power 2.5 Gbps 1: 32 Deserializer in SiGe BiCMOS Technology. Search on Bibsonomy DDECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Abid Rashid, Frank H. P. Fitzek, Ole Olsen, Morten Gade, Yannick Le Moullec A Low Complexity, High Speed, Regular and Flexible Reed Solomon Decoder for Wireless Communication. Search on Bibsonomy DDECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Tomas Pecenka, Zdenek Kotásek, Lukás Sekanina FITTest_BENCH06: A New Set of Benchmark Circuits Reflecting Diagnostic Properties. Search on Bibsonomy DDECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Harri Lampinen, Pauli Perälä, Olli Vainio Design of a Scalable Asynchronous Dataflow Processor. Search on Bibsonomy DDECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1André V. Fidalgo, Gustavo R. Alves, José M. Ferreira 0001 A Modified Debugging Infrastructure to Assist Real Time Fault Injection Campaigns. Search on Bibsonomy DDECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Aki Penttinen, Rafal P. Jastrzebski, Riku Pöllänen, Olli Pyrhönen Run-Time Debugging and Monitoring of FPGA Circuits Using Embedded Microprocessor. Search on Bibsonomy DDECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Zoran Stamenkovic, C. Wolf, Günter Schoof, Jiri Gaisler LEON-2: General Purpose Processor for a Wireless Engine. Search on Bibsonomy DDECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1José M. Fernandes, Marcelino B. Santos, Arlindo L. Oliveira, João Paulo Teixeira 0001 Probabilistic Testability Analysis and DFT Methods at RTL. Search on Bibsonomy DDECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Jaroslav Skarvada Test Scheduling for SoC under Power Constraints. Search on Bibsonomy DDECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Luigi Dilillo, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel, Magali Bastian March Pre: an Efficient Test for Resistive-Open Defects in the SRAM Pre-charge Circuit. Search on Bibsonomy DDECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Cecilia Metra, Daniele Rossi 0001, Martin Omaña 0001, José Manuel Cazeaux, T. M. Mak Can Clock Faults be Detected Through Functional Test? Search on Bibsonomy DDECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1György Bognár, Gyula Horváth, Zoltán Szucs, Vladimír Székely Die Attach Quality Testing by Fully Contact-less Measurement Method. Search on Bibsonomy DDECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Eero Aho, Jarno Vanne, Timo D. Hämäläinen Parallel Memory Architecture for Arbitrary Stride Accesses. Search on Bibsonomy DDECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Guoyan Zhang, Ronan Farrell Embedded Built-In-Test Detection Circuit for Radio Frequency Systems and Circuits. Search on Bibsonomy DDECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Luz Balado, Emili Lupon, L. García, Rosa Rodríguez-Montañés, Joan Figueras Lissajous Based Mixed-Signal Testing for N-Observable Signals. Search on Bibsonomy DDECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Jiri Kadlec, Martin Danek Design and Verification Methodology for Reconfigurable Designs in Atmel FPSLIC. Search on Bibsonomy DDECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Eric Armengaud Low Level Bus Traffic Replay for the Test and Debugging of Time-Triggered Communication Systems. Search on Bibsonomy DDECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Hsin-Chou Chi, Chia-Ming Wu, Sung-Tze Wu A Switch Supporting Circuit and Packet Switching for On-Chip Networks. Search on Bibsonomy DDECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Ondrej Subrt, Pravoslav Martínek A Novel Design Evaluation Concept Applied to Switched-Current Algorithmic A/D Converters. Search on Bibsonomy DDECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1René Kothe, Christian Galke, Sabine Schultke, Henry Fröschke, Steffen Gaede, Heinrich Theodor Vierhaus Hardware/Software Based Hierarchical Self Test for SoCs. Search on Bibsonomy DDECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Josef Strnadel Power-Constrained, Sessionless SoC Test Scheduling Based on Exploration of I-Schedule State-Space. Search on Bibsonomy DDECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Zbysek Gajda A Core Generator for Multi-ALU Processors Utilized in Genetic Parallel Programming. Search on Bibsonomy DDECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Pierre Vanhauwaert, Régis Leveugle, Philippe Roche A Flexible SoPC-based Fault Injection Environment. Search on Bibsonomy DDECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Youssef Serrestou, Vincent Beroulle, Chantal Robach How to Improve a Set of Design Validation Data by Using Mutation-based Test. Search on Bibsonomy DDECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Shih-Chang Hsia, Wen-Ching Lee A New 6-bit Flash A/D Converter Using Novel Two-Step Structure. Search on Bibsonomy DDECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Paolo Bernardi, Michelangelo Grosso Test Considerations about the Structured ASIC Paradigm. Search on Bibsonomy DDECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Gergely Perlaky, Gábor Mezösi, Imre Zolomy Sensor Powering with Integrated MOS Compatible Solar Cell Array. Search on Bibsonomy DDECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1S. V. Yarmolik, Bartosz Sokol Optimal Memory Address Seeds for Pattern Sensitive Faults Detection. Search on Bibsonomy DDECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Piotr Dziurzanski, Wlodzimierz Bielecki, Konrad Trifunovic, M. Kleszczonek A System for Transforming an ANSI C Code with OpenMP Directives into a SystemC Description. Search on Bibsonomy DDECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Régis Leveugle, V. Maingot On the Use of Information Redundancy When Designing Secure Chips. Search on Bibsonomy DDECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Grzegorz Pastuszak Architecture Design for the Context Formatter in the H.264/AVC Encoder. Search on Bibsonomy DDECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
Displaying result #901 - #1000 of 1043 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license