The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for DFT with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1974-1982 (22) 1983-1985 (18) 1986-1988 (27) 1989-1990 (20) 1991-1992 (19) 1993 (68) 1994 (66) 1995 (85) 1996 (82) 1997 (78) 1998 (82) 1999 (107) 2000 (108) 2001 (127) 2002 (141) 2003 (177) 2004 (165) 2005 (190) 2006 (216) 2007 (189) 2008 (214) 2009 (173) 2010 (170) 2011 (129) 2012 (161) 2013 (70) 2014 (163) 2015 (89) 2016 (106) 2017 (134) 2018 (113) 2019 (139) 2020 (111) 2021 (116) 2022 (114) 2023 (144) 2024 (24)
Publication types (Num. hits)
article(1278) book(2) data(1) incollection(4) inproceedings(2831) phdthesis(8) proceedings(33)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1714 occurrences of 747 keywords

Results
Found 4157 publication records. Showing 4157 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
27Irith Pomeranz, Sudhakar M. Reddy Scan-Based Delay Fault Tests for Diagnosis of Transition Faults. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Yusuke Fukushima, Masaru Fukushi, Susumu Horiguchi An Improved Reconfiguration Method for Degradable Processor Arrays Using Genetic Algorithm. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Kyriakos Christou, Maria K. Michael, Spyros Tragoudas Implicit Critical PDF Test Generation with Maximal Test Efficiency. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Lushan Liu, Ramalingam Sridhar, Shambhu J. Upadhyaya A 3-port Register File Design for Improved Fault Tolerance on Resistive Defects in Core-Cells. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Rui Gong, Wei Chen 0009, Fang Liu 0002, Kui Dai, Zhiying Wang 0003 Modified Triple Modular Redundancy Structure based on Asynchronous Circuit Technique. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Federico Rota, Shantanu Dutt, Sahithi Krishna Off-Chip Control Flow Checking of On-Chip Processor-Cache Instruction Stream. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Cristian Grecu, André Ivanov, Res Saleh, Partha Pratim Pande NoC Interconnect Yield Improvement Using Crosspoint Redundancy. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Reza M. Rad, Mohammad Tehranipoor A Reconfiguration-based Defect Tolerance Method for Nanoscale Devices. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Nanoscale Devices, Fault Tolerance, Test, Reconfiguration, Redundancy, Crossbar
27Yuejian Wu, André Ivanov Low Power SoC Memory BIST. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Yadunandana Yellambalase, Minsu Choi, Yong-Bin Kim Inherited Redundancy and Configurability Utilization for Repairing Nanowire Crossbars with Clustered Defects. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Marco Ottavi, Salvatore Pontarelli, A. Leandri, Adelio Salsano Design and Evaluation of a Hardware on-line Program-Flow Checker for Embedded Microcontrollers. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Yasser Sedaghat, Seyed Ghassem Miremadi, Mahdi Fazeli A Software-Based Error Detection Technique Using Encoded Signatures. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Tadayoshi Horita, Takurou Murata, Itsuo Takanami A Multiple-Weight-and-Neuron-Fault Tolerant Digital Multilayer Neural Network. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF weight fault, neuron fault, fault tolerance, FPGA, VHDL, multilayer neural network
27 20th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2005), 3-5 October 2005, Monterey, CA, USA Search on Bibsonomy DFT The full citation details ... 2005 DBLP  BibTeX  RDF
27Hossein Asadi 0001, Mehdi Baradaran Tahoori Soft Error Modeling and Protection for Sequential Elements. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Gian Carlo Cardarilli, Salvatore Pontarelli, Marco Re, Adelio Salsano FPGA oriented design of parity sharing RS codecs. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Mahdi Fazeli, Reza Farivar 0003, Seyed Ghassem Miremadi A Software-Based Concurrent Error Detection Technique for PowerPC Processor-based Embedded Systems. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Mariam Momenzadeh, Jing Huang 0001, Fabrizio Lombardi Defect Characterization and Tolerance of QCA Sequential Devices and Circuits. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Michael Wieckowski, John C. Liobe, Quentin Diduck, Martin Margala A New Test Methodology For DNL Error In Flash ADC's. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27In Suk Chong, Antonio Ortega Hardware Testing For Error Tolerant Multimedia Compression based on Linear Transforms. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Jeremy Lee, Mohammad Tehranipoor, Chintan Patel, Jim Plusquellic Securing Scan Design Using Lock and Key Technique. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Dilip P. Vasudevan, Parag K. Lala A Technique for Modular Design of Self-Checking Carry-Select Adder. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Erik Schüler, Luigi Carro Reliable Digital Circuits Design using Sigma-Delta Modulated Signals. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Bhushan Vaidya, Mehdi Baradaran Tahoori Delay Test Generation with All Reachable Output Propagation and Multiple Excitations. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Jinkyu Lee 0005, Nur A. Touba Low Power BIST Based on Scan Partitioning. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Zhaojun Wo, Israel Koren, Maciej J. Ciesielski An ILP Formulation for Yield-driven Architectural Synthesis. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27 Copyright. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Siavash Bayat Sarmadi, M. Anwar Hasan Concurrent Error Detection of Polynomial Basis Multiplication over Extension Fields using a Multiple-bit Parity Scheme. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Chunsheng Liu, Kugesh Veeraraghavant, Vikram Iyengar Thermal-Aware Test Scheduling and Hot Spot Temperature Minimization for Core-Based Systems. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Xingguo Xiong, Yu-Liang Wu, Wen-Ben Jone Design and Analysis of Self-Repairable MEMS Accelerometer. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Masaru Fukushi, Yusuke Fukushima, Susumu Horiguchi A Genetic Approach for the Reconfiguration of Degradable Processor Arrays. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Cristian Grecu, Partha Pratim Pande, Baosheng Wang, André Ivanov, Res Saleh Methodologies and Algorithms for Testing Switch-Based NoC Interconnects. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Lei Wu 0009, D. M. H. Walker A Fast Algorithm for Critical Path Tracing in VLSI Digital Circuits. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Vladimir Ostrovsky, Ilya Levin Implementation of Concurrent Checking Circuits by Independent Sub-circuits. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Leonard Lee, Sean H. Wu, Charles H.-P. Wen, Li-C. Wang On Generating Tests to Cover Diverse Worst-Case Timing Corners. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Hyukjune Chung, Antonio Ortega Analysis and Testing for Error Tolerant Motion Estimation. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Kyung Ki Kim, Yong-Bin Kim, Fabrizio Lombardi Data Dependent Jitter (DDJ) Characterization Methodology. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27David M. Horan, Richard A. Guinee A Novel Pulse Echo Correlation Tool for Transmission Path Testing and Fault Finding using Pseudorandom Binary Sequences. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27B. Saillet, Jean-Michel Portal, Didier Née Flash Memory Cell: Parametric Test Data Reconstruction for Process Monitoring. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Pedram A. Riahi, Zainalabedin Navabi, Fabrizio Lombardi Simulating Faults of Combinational IP Core-based SOCs in a PLI Environment. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Roberto Gómez 0001, Alejandro Girón, Víctor H. Champac Test of Interconnection Opens Considering Coupling Signals. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Fang Yu 0001, Chung-Hung Tsai, Yao-Wen Huang, D. T. Lee, Hung-Yau Lin, Sy-Yen Kuo Efficient Exact Spare Allocation via Boolean Satisfiability. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Luca Sterpone, Massimo Violante A design flow for protecting FPGA-based systems against single event upsets. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Zachary D. Patitz, Nohpill Park, Minsu Choi, Fred J. Meyer QCA-Based Majority Gate Design under Radius of Effect-Induced Faults. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Glenn H. Chapman, Vijay K. Jain, Shekhar Bhansali Inter-Plane Via Defect Detection Using the Sensor Plane in 3-D Heterogeneous Sensor Systems. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Jien-Chung Lo, Yu-Lun Wan, Eiji Fujiwara Transient Behavior of the Encoding/Decoding Circuits of Error Correcting Codes. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Mariam Momenzadeh, Marco Ottavi, Fabrizio Lombardi Modeling QCA Defects at Molecular-level in Combinational Circuits. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF fault model, emerging technology, defect tolerance, QCA
27Cristiana Bolchini, Antonio Miele, Fabio Salice, Donatella Sciuto A model of soft error effects in generic IP processors. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Cory Jung, Mohammad Hadi Izadi, Michelle L. La Haye Noise Analysis of Fault Tolerant Active Pixel Sensors. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Nisar Ahmed, Mohammad Tehranipoor Improving Transition Delay Fault Coverage Using Hybrid Scan-Based Technique. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27 Message from the Symposium Chairs. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Jeetendra Kumar, Mehdi Baradaran Tahoori A Low Power Soft Error Suppression Technique for Dynamic Logic. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Chin-Lung Su, Yi-Ting Yeh, Cheng-Wen Wu An Integrated ECC and Redundancy Repair Scheme for Memory Reliability Enhancement. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27 Title Page. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Irith Pomeranz, Sudhakar M. Reddy Recovery During Concurrent On-Line Testing of Identical Circuits. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27G. Cellere, Alessandro Paccagnella, Angelo Visconti, Mauro Bonanomi Soft Errors induced by single heavy ions in Floating Gate memory arrays. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27 Committees. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Masato Kitakami, Manabu Sueishi Fault-Tolerant Wormhole Switching with Backtracking Capability. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Glenn H. Chapman, Israel Koren, Zahava Koren, Jozsef Dudas, Cory Jung On-Line Identification of Faults in Fault-Tolerant Imagers. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Ernesto Sánchez 0001, Matteo Sonza Reorda, Giovanni Squillero On the Transformation of Manufacturing Test Sets into On-Line Test Sets for Microprocessors. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Haruhiko Kaneko Error Control Coding for Semiconductor Memory Systems in the Space Radiation Environment. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Miltiadis Hatzimihail, Mihalis Psarakis, George Xenoulis, Dimitris Gizopoulos, Antonis M. Paschalis Software-Based Self-Test for Pipelined Processors: A Case Study. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Enkelejda Tafaj, Paul M. Rosinger, Bashir M. Al-Hashimi, Krishnendu Chakrabarty Improving Thermal-Safe Test Scheduling for Core-Based Systems-on-Chip Using Shift Frequency Scaling. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Wei Zhang 0002 Computing Cache Vulnerability to Transient Errors and Its Implication. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Shaolei Quan, Meng-Yao Liu, Chin-Long Wey Design of a CMOS Operational Amplifier Amenable to Extreme Voltage Stress. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Ahmad A. Al-Yamani, Narendra Devta-Prasanna, Arun Gunda Should Illinois-Scan Based Architectures be Centralized or Distributed? Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Jia Di, Parag K. Lala, Dilip P. Vasudevan On the Effect of Stuck-at Faults on Delay-insensitive Nanoscale Circuits. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Gian Carlo Cardarilli, Salvatore Pontarelli, Marco Re, Adelio Salsano A Self Checking Reed Solomon Encoder: Design and Analysis. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Kyung Ki Kim, Jing Huang 0001, Yong-Bin Kim, Fabrizio Lombardi On the Modeling and Analysis of Jitter in ATE Using Matlab. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Mehdi Baradaran Tahoori Defects, Yield, and Design in Sublithographic Nano-electronics. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Paolo Bernardi, Letícia Maria Veiras Bolzani, Maurizio Rebaudengo, Matteo Sonza Reorda, Massimo Violante An Integrated Approach for Increasing the Soft-Error Detection Capabilities in SoCs processors. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Song Peng, Rajit Manohar Efficient Failure Detection in Pipelined Asynchronous Circuits. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Yukiya Miura Characteristics of Fault Diagnosis for Analog Circuits Based on Preset Test. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Sadeka Ali, Gregory Briggs, Martin Margala A High Frequency, Low Jitter Auto-Calibration Phase-Locked Loop with Built-in-Self-Test. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Daniele Rossi 0001, Martin Omaña 0001, Fabio Toma, Cecilia Metra Multiple Transient Faults in Logic: An Issue for Next Generation ICs. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Mohammad Tehranipoor Defect Tolerance for Molecular Electronics-Based NanoFabrics Using Built-In Self-Test Procedure. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Samuel I. Ward, Chris Schattauer, Nur A. Touba Using Statistical Transformations to Improve Compression for Linear Decompressors. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Luca Breveglieri, Israel Koren, Paolo Maistri Incorporating Error Detection and Online Reconfiguration into a Regular Architecture for the Advanced Encryption Standard. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27 19th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2004), 10-13 October 2004, Cannes, France, Proceedings Search on Bibsonomy DFT The full citation details ... 2004 DBLP  BibTeX  RDF
27Tejasvi Das, Anand Gopalan, Clyde Washburn, P. R. Mukund Dynamic Input Match Correction in RF Low Noise Amplifiers. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Shi-Yu Huang A Fading Algorithm For Sequential Fault Diagnosis. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Yinhe Han 0001, Yu Hu 0001, Huawei Li 0001, Xiaowei Li 0001, Anshuman Chandra Response Compaction for Test Time and Test Pins Reduction Based on Advanced Convolutional Codes. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Ireneusz Gosciniak A New Approach to Linear Connections Building BIST Structure Based on CSTP Structure. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Cristiana Bolchini, Antonio Miele, Fabio Salice, Donatella Sciuto, Luigi Pomante Reliable System Co-Design: The FIR Case Study. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Nitin Parimi, Xiaoling Sun Toggle-Masking for Test-per-Scan VLSI Circuits. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Jing Huang 0001, Mariam Momenzadeh, Mehdi Baradaran Tahoori, Fabrizio Lombardi Defect Characterization for Scaling of QCA Devices. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Swarup Bhunia, Hamid Mahmoodi-Meimand, Arijit Raychowdhury, Kaushik Roy 0001 First Level Hold: A Novel Low-Overhead Delay Fault Testing Technique. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Adão Antônio de Souza Jr., Luigi Carro Robust Low-Cost Analog Signal Acquisition with Self-Test Capabilities. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Michele Favalli Annotated Bit Flip Fault Model. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Shanrui Zhang, Minsu Choi, Nohpill Park, Fabrizio Lombardi Probabilistic Balancing of Fault Coverage and Test Cost in Combined Built-In Self-Test/Automated Test Equipment Testing Environment. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Yukiya Miura Fault Diagnosis of Analog Circuits by Operation-Region Model and X-Y Zoning Method. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Yen-Lin Peng, Jing-Jia Liou, Chih-Tsun Huang, Cheng-Wen Wu An Application-Independent Delay Testing Methodology for Island-Style FPGA. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF segment delay fault, FPGA, delay testing, path delay fault
27John Y. Fong, Randy Acklin, John Roscher, Feng Li, Cindy Laird, Cezary Pietrzyk Nonvolatile Repair Caches Repair Embedded SRAM and New Nonvolatile Memories. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Dilip P. Vasudevan, Parag K. Lala, James Patrick Parkerson Online Testable Reversible Logic Circuit Design using NAND Blocks. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Glenn H. Chapman, Vijay K. Jain, Shekhar Bhansali Defect Avoidance in a 3-D Heterogeneous Sensor. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Michele Favalli "Victim Gate" Crosstalk Fault Model. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Stefano Bertazzoni, Domenico Di Giovenale, Marcello Salmeri, Arianna Mencattini, Adelio Salsano, M. Florean, Jeffery Wyss, Ricardo Rando, Silvano Lora Monitoring Methodology for TID Damaging of SDRAM Devices based on Retention Time Analysis. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Brian Peng, Ing-Yi Chen, Sy-Yen Kuo, Colin Bolger IC HTOL Test Stress Condition Optimization. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Hung-Yau Lin, Fu-Min Yeh, Ing-Yi Chen, Sy-Yen Kuo An Efficient Perfect Algorithm for Memory Repair Problems. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Ammar Aljer, Philippe Devienne Co-Design and Refinement for Safety Critical Systems. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
Displaying result #901 - #1000 of 4157 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license