|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 908 occurrences of 496 keywords
|
|
|
Results
Found 2029 publication records. Showing 1921 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
18 | Matthew A. Smith, Lars A. Schreiner, Erich Barke, Volker Meyer zu Bexten |
Algorithms for automatic length compensation of busses in analog integrated circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2007 International Symposium on Physical Design, ISPD 2007, Austin, Texas, USA, March 18-21, 2007, pp. 159-166, 2007, ACM, 978-1-59593-613-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
analog routing, length compensation, net bundles, paired nets, virtual terminals, routing, EDA, RF, bus routing, IC-layout |
18 | Xavier Llorà, Kumara Sastry, Tian-Li Yu 0001, David E. Goldberg |
Do not match, inherit: fitness surrogates for genetics-based machine learning techniques. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GECCO ![In: Genetic and Evolutionary Computation Conference, GECCO 2007, Proceedings, London, England, UK, July 7-11, 2007, pp. 1798-1805, 2007, ACM, 978-1-59593-697-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
DSMGA, fitness estimation, surrogate fitness, xeCCS, learning classifier system, EDA, genetics-based machine learning |
18 | Tejaswi Gowda, Sarma B. K. Vrudhula, Goran Konjevod |
Combinational equivalence checking for threshold logic circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 17th ACM Great Lakes Symposium on VLSI 2007, Stresa, Lago Maggiore, Italy, March 11-13, 2007, pp. 102-107, 2007, ACM, 978-1-59593-605-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
nano devices, EDA, equivalence checking, threshold logic |
18 | Christopher Songer |
Embedded systems and the kitchen sink. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test Comput. ![In: IEEE Des. Test Comput. 23(1), pp. 69-70, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
sensor networks, embedded systems, EDA, SoC design, embedded applications, networked embedded systems |
18 | |
CEDA Currents. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test Comput. ![In: IEEE Des. Test Comput. 23(4), pp. 322-325, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
CEDA, technical council, EDA, electronic design automation |
18 | Kartikeya Mayaram |
CEDA Currents. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test Comput. ![In: IEEE Des. Test Comput. 23(2), pp. 168-171, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
CEDA, technical council, EDA, electronic design automation |
18 | |
CEDA Currents. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test Comput. ![In: IEEE Des. Test Comput. 23(3), pp. 252-253, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
CEDA, technical council, EDA, electronic design automation |
18 | Grant Martin |
Wireless, ESL, DFM, and Power on Stage at 42nd DAC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test Comput. ![In: IEEE Des. Test Comput. 22(5), pp. 397-398, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
DAC 2005, electronic system level (ESL) design, DAC 2006, wireless, EDA, SoC design |
18 | Rajesh K. Gupta 0001 |
Going 3D: Silicon and D&T. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test Comput. ![In: IEEE Des. Test Comput. 22(6), pp. 493-494, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
vertical stacking, wireless, EDA, cell phone, 3D integration, International Test Conference |
18 | Vladimir Hahanov |
2005 IEEE East-West Design and Test Workshop. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test Comput. ![In: IEEE Des. Test Comput. 22(6), pp. 600, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
EWDTW 2005, formal verification, fault diagnosis, debug, BIST, EDA, system-level modeling |
18 | Lars A. Schreiner, Markus Olbrich, Erich Barke, Volker Meyer zu Bexten |
Routing of analog busses with parasitic symmetry. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2005 International Symposium on Physical Design, ISPD 2005, San Francisco, California, USA, April 3-6, 2005, pp. 14-19, 2005, ACM, 1-59593-021-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
analog routing, net bundles, paired nets, virtual terminals, routing, EDA, RF, bus routing, IC-layout |
18 | Andrew Chang 0001, William J. Dally |
Explaining the gap between ASIC and custom power: a custom perspective. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 42nd Design Automation Conference, DAC 2005, San Diego, CA, USA, June 13-17, 2005, pp. 281-284, 2005, ACM, 1-59593-058-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
custom circuits, normalized metrics, low power, energy efficiency, ASIC, EDA, technology scaling |
18 | Erik H. Volkerink, Ajay Khoche, Subhasish Mitra |
Packet-Based Input Test Data Compression Techniques. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: Proceedings IEEE International Test Conference 2002, Baltimore, MD, USA, October 7-10, 2002, pp. 154-163, 2002, IEEE Computer Society, 0-7803-7543-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
RLE, Golomb-Rice, Compression, BIST, LFSR, EDA, ATE, Huffman |
18 | Ajay J. Daga, Loa Mize, Subramanyam Sripada, Chris Wolff, Qiuyang Wu |
Automated timing model generation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 39th Design Automation Conference, DAC 2002, New Orleans, LA, USA, June 10-14, 2002, pp. 146-151, 2002, ACM, 1-58113-461-4. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
EDA, static timing analysis, model generation |
18 | Sy Wong, Gertrude Levine |
Kernel Ada to Unify Hardware and Software Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGAda ![In: Proceedings of the ACM SIGAda Annual International Conference on Ada Technology, SIGAda 1998, Washington, DC, USA, November 8-12, 1998, pp. 28-38, 1998, ACM, 1-58113-033-3. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
Ada, Ada, VHDL, EDA, hardware description language, HDL |
18 | Kai Kuikkaniemi, Toni Laitinen, Marko Turpeinen, Timo Saari, Ilkka Kosunen, Niklas Ravaja |
The influence of implicit and explicit biofeedback in first-person shooter games. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHI ![In: Proceedings of the 28th International Conference on Human Factors in Computing Systems, CHI 2010, Atlanta, Georgia, USA, April 10-15, 2010, pp. 859-868, 2010, ACM, 978-1-60558-929-9. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
explicit biofeedback, implicit biofeedback, games, affective computing, playing, biofeedback, biosignals |
18 | Hyeonjae Jeon, Kwangjin Park, Hyunseung Choo |
Sink-oriented dynamic location service for shortest path relay with energy efficient global grid. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2009 ACM Symposium on Applied Computing (SAC), Honolulu, Hawaii, USA, March 9-12, 2009, pp. 2174-2179, 2009, ACM, 978-1-60558-166-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
data dissemination protocol, wireless sensor networks, protocol, energy efficiency, mobile sink, location-based routing |
18 | Dolores Torres, Eunice Ponce de León, Aurora Torres Soto, Carlos Alberto Ochoa Ortíz Zezzatti, Elva Díaz |
Hybridization of Evolutionary Mechanisms for Feature Subset Selection in Unsupervised Learning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICAI ![In: MICAI 2009: Advances in Artificial Intelligence, 8th Mexican International Conference on Artificial Intelligence, Guanajuato, Mexico, November 9-13, 2009. Proceedings, pp. 610-621, 2009, Springer, 978-3-642-05257-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Hybridized Evolutionary Mechanisms, Genetic Algorithm, Unsupervised Learning, Feature Subset Selection, Univariate Marginal Distribution Algorithm |
18 | Matthias Wieland 0001, Daniel Martin 0001, Oliver Kopp, Frank Leymann |
SOEDA: A Method for Specification and Implementation of Applications on a Service-Oriented Event-Driven Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
BIS ![In: Business Information Systems, 12th International Conference, BIS 2009, Poznan, Poland, April 27-29, 2009. Proceedings, pp. 193-204, 2009, Springer, 978-3-642-01189-4. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
MDA, transformation, BPEL, EPC, Complex events |
18 | Peter A. N. Bosman, Jörn Grahl, Dirk Thierens |
AMaLGaM IDEAs in noisy black-box optimization benchmarking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GECCO (Companion) ![In: Genetic and Evolutionary Computation Conference, GECCO 2009, Proceedings, Montreal, Québec, Canada, July 8-12, 2009, Companion Material, pp. 2351-2358, 2009, ACM, 978-1-60558-505-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
evolutionary computation, benchmarking, black-box optimization |
18 | Petr Posik |
BBOB-benchmarking a simple estimation of distribution algorithm with cauchy distribution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GECCO (Companion) ![In: Genetic and Evolutionary Computation Conference, GECCO 2009, Proceedings, Montreal, Québec, Canada, July 8-12, 2009, Companion Material, pp. 2309-2314, 2009, ACM, 978-1-60558-505-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
evolutionary computation, benchmarking, local search, estimation-of-distribution algorithms, black-box optimization, cauchy distribution |
18 | Peter A. N. Bosman, Jörn Grahl, Dirk Thierens |
AMaLGaM IDEAs in noiseless black-box optimization benchmarking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GECCO (Companion) ![In: Genetic and Evolutionary Computation Conference, GECCO 2009, Proceedings, Montreal, Québec, Canada, July 8-12, 2009, Companion Material, pp. 2247-2254, 2009, ACM, 978-1-60558-505-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
evolutionary computation, benchmarking, black-box optimization |
18 | Peter A. N. Bosman |
On empirical memory design, faster selection of bayesian factorizations and parameter-free gaussian EDAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GECCO ![In: Genetic and Evolutionary Computation Conference, GECCO 2009, Proceedings, Montreal, Québec, Canada, July 8-12, 2009, pp. 389-396, 2009, ACM, 978-1-60558-325-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
learning, evolutionary algorithms, memory, estimation of distribution algorithms, numerical optimization |
18 | Miroslav N. Velev, Ping Gao 0002 |
Efficient SAT-based techniques for Design of Experiments by using static variable ordering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 10th International Symposium on Quality of Electronic Design (ISQED 2009), 16-18 March 2009, San Jose, CA, USA, pp. 371-376, 2009, IEEE Computer Society, 978-1-4244-2952-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
18 | Anke K. Hutzschenreuter, Peter A. N. Bosman, Han La Poutré |
Evolutionary Multiobjective Optimization for Dynamic Hospital Resource Management. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EMO ![In: Evolutionary Multi-Criterion Optimization, 5th International Conference, EMO 2009, Nantes, France, April 7-10, 2009. Proceedings, pp. 320-334, 2009, Springer, 978-3-642-01019-4. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
18 | Patrick Groeneveld, Rob A. Rutenbar, Jed W. Pitera, Erik C. Carlson, Jinsong Chen |
Oil fields, hedge funds, and drugs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 46th Design Automation Conference, DAC 2009, San Francisco, CA, USA, July 26-31, 2009, pp. 416-417, 2009, ACM, 978-1-60558-497-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
financial market analysis, oil field discovery, Monte Carlo methods, drug discovery |
18 | Pier Luca Lanzi, Luigi Nichetti, Kumara Sastry, Davide Voltini, David E. Goldberg |
Real-Coded Extended Compact Genetic Algorithm Based on Mixtures of Models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Linkage in Evolutionary Computation ![In: Linkage in Evolutionary Computation, pp. 335-358, 2008, Springer, 978-3-540-85067-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
18 | Roberto Santana 0001, Pedro Larrañaga, José Antonio Lozano 0001 |
Adaptive Estimation of Distribution Algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Adaptive and Multilevel Metaheuristics ![In: Adaptive and Multilevel Metaheuristics, pp. 177-197, 2008, Springer, 978-3-540-79437-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
adaptive probabilistic model, Estimation of distribution algorithm, SAT |
18 | João Marques-Silva 0001, Jordi Planes |
Algorithms for Maximum Satisfiability using Unsatisfiable Cores. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation and Test in Europe, DATE 2008, Munich, Germany, March 10-14, 2008, pp. 408-413, 2008, ACM, 978-3-9810801-3-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
18 | Sachin G. Deshpande |
High quality video streaming using content-aware adaptive frame scheduling with explicit deadline adjustment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Multimedia ![In: Proceedings of the 16th International Conference on Multimedia 2008, Vancouver, British Columbia, Canada, October 26-31, 2008, pp. 777-780, 2008, ACM, 978-1-60558-303-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
adaptive streaming, frame deadline, frame scheduling, rd optimal streaming |
18 | Joel R. Phillips, Kurt Keutzer, Michael Wrinn |
Architecting parallel programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2008 International Conference on Computer-Aided Design, ICCAD 2008, San Jose, CA, USA, November 10-13, 2008, pp. 4, 2008, IEEE Computer Society, 978-1-4244-2820-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
18 | Mark Hauschild, Martin Pelikan, Kumara Sastry, David E. Goldberg |
Using previous models to bias structural learning in the hierarchical BOA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GECCO ![In: Genetic and Evolutionary Computation Conference, GECCO 2008, Proceedings, Atlanta, GA, USA, July 12-16, 2008, pp. 415-422, 2008, ACM, 978-1-60558-130-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
probabilistic model, estimation of distribution algorithms, prior knowledge, hierarchical boa, model complexity, learning from experience |
18 | Luis Vargas, Jean Bacon, Ken Moody |
Event-Driven Database Information Sharing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
BNCOD ![In: Sharing Data, Information and Knowledge, 25th British National Conference on Databases, BNCOD 25, Cardiff, UK, July 7-10, 2008. Proceedings, pp. 113-125, 2008, Springer, 978-3-540-70503-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
18 | Xiuqin Wang, Guangsheng Ma, Hao Wang |
A Novel Method for All Solutions SAT Problem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SNPD ![In: Ninth ACIS International Conference on Software Engineering, Artificial Intelligence, Networking and Parallel/Distributed Computing, SNPD 2008, In conjunction with Second International Workshop on Advanced Internet Technology and Applications, August 6-8, 2008, Phuket, Thailand, pp. 41-45, 2008, IEEE Computer Society, 978-0-7695-3263-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
All Solutions, Observability Don't Cares, Circuit Structure, Boolean Satisfiability |
18 | Li Ma, Xin Li 0002, Yongyan Wang, Hongan Wang |
An Approach to Handle Overload in Real-Time Data Stream Management System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FSKD (3) ![In: Fifth International Conference on Fuzzy Systems and Knowledge Discovery, FSKD 2008, 18-20 October 2008, Jinan, Shandong, China, Proceedings, Volume 3, pp. 3-8, 2008, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
18 | Alicia Strang, David Potts, Shankar Hemmady |
A Holistic Approach to SoC Verification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 9th International Symposium on Quality of Electronic Design (ISQED 2008), 17-19 March 2008, San Jose, CA, USA, pp. 417-422, 2008, IEEE Computer Society, 978-0-7695-3117-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
holistic verification, verification management, right-brained thinking, verification, debug, SoC, visualization environments |
18 | Andreas Kuehlmann, Anjan Bose, David E. Corman, Rob A. Rutenbar, Robert M. Manning, Anna Newman |
Verifying really complex systems: on earth and beyond. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 45th Design Automation Conference, DAC 2008, Anaheim, CA, USA, June 8-13, 2008, pp. 552-553, 2008, ACM, 978-1-60558-115-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Mars Exploration Rover, bald lemurs, rendering software, space vehicles, verification, computer animation, power grids, avionics |
18 | Uwe Aickelin, Jingpeng Li 0001 |
An estimation of distribution algorithm for nurse scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Ann. Oper. Res. ![In: Ann. Oper. Res. 155(1), pp. 289-309, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Bayesian network, Estimation of distribution algorithms, Nurse scheduling |
18 | Fadi A. Aloul, Arathi Ramani, Karem A. Sakallah, Igor L. Markov |
Solution and Optimization of Systems of Pseudo-Boolean Constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 56(10), pp. 1415-1424, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Pseudo Boolean (PB), Max-ONE, Global Routing, Conjunctive Normal Form (CNF), Backtrack Search, Integer Linear Programming (ILP), Max-SAT, Boolean Satisfiability (SAT) |
18 | Chia-Jui Hsu, Ming-Yung Ko, Shuvra S. Bhattacharyya, Suren Ramasubbu, José Luis Pino |
Efficient simulation of critical synchronous dataflow graphs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 12(3), pp. 21:1-21:28, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
simulation, Scheduling, synchronous dataflow |
18 | Tianshi Chen 0002, Ke Tang 0001, Guoliang Chen 0001, Xin Yao 0001 |
On the analysis of average time complexity of estimation of distribution algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Congress on Evolutionary Computation ![In: Proceedings of the IEEE Congress on Evolutionary Computation, CEC 2007, 25-28 September 2007, Singapore, pp. 453-460, 2007, IEEE, 978-1-4244-1339-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
18 | Ramón Sagarna, Andrea Arcuri, Xin Yao 0001 |
Estimation of distribution algorithms for testing object oriented software. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Congress on Evolutionary Computation ![In: Proceedings of the IEEE Congress on Evolutionary Computation, CEC 2007, 25-28 September 2007, Singapore, pp. 438-444, 2007, IEEE, 978-1-4244-1339-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
18 | Giner Alor-Hernández, Rubén Posada-Gómez, Juan Miguel Gómez-Berbís, María Antonieta Abud-Figueroa |
A Web Service-Based Brokering Service for e-Procurement in Supply Chains. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GPC ![In: Advances in Grid and Pervasive Computing, Second International Conference, GPC 2007, Paris, France, May 2-4, 2007, Proceedings, pp. 686-693, 2007, Springer, 978-3-540-72359-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Web Services, Service-Oriented Architecture, Event-Driven Architecture |
18 | Naser MohammadZadeh, Morteza NajafVand, Shaahin Hessabi, Maziar Goudarzi |
Implementation of a jpeg object-oriented ASIP: a case study on a system-level design methodology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 17th ACM Great Lakes Symposium on VLSI 2007, Stresa, Lago Maggiore, Italy, March 11-13, 2007, pp. 329-334, 2007, ACM, 978-1-59593-605-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
ODYSSEY, embedded systems, ASIP, JPEG |
18 | Aashish Phansalkar, Ajay Joshi, Lizy Kurian John |
Analysis of redundancy and application balance in the SPEC CPU2006 benchmark suite. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 34th International Symposium on Computer Architecture (ISCA 2007), June 9-13, 2007, San Diego, California, USA, pp. 412-423, 2007, ACM, 978-1-59593-706-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
microprocessor performance counters, clustering, benchmark, SPEC |
18 | Lauren Sarno, Ron Wilson, Soo-Kwan Eo, Laurent Lestringand, John Goodenough 0001, Guri Stark, Serge Leef, Dave Witt |
IP Exchange: I'll Show You Mine if You Show Me Yours. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 44th Design Automation Conference, DAC 2007, San Diego, CA, USA, June 4-8, 2007, pp. 990-991, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP BibTeX RDF |
|
18 | Nikolaos Andrikos, Luciano Lavagno, Davide Pandini, Christos P. Sotiriou |
A Fully-Automated Desynchronization Flow for Synchronous Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 44th Design Automation Conference, DAC 2007, San Diego, CA, USA, June 4-8, 2007, pp. 982-985, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
18 | Domagoj Babic, Jesse D. Bingham, Alan J. Hu |
B-Cubing: New Possibilities for Efficient SAT-Solving. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 55(11), pp. 1315-1324, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
SAT, Boolean satisfiability, search space pruning |
18 | Lech Józwiak, Dominik Gawlowski, Aleksander Slusarczyk |
Multi-objective Optimal Controller Synthesis for Heterogeneous Embedded Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSAMOS ![In: Proceedings of 2006 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (IC-SAMOS 2006), Samos, Greece, July 17-20, 2006, pp. 177-184, 2006, IEEE, 1-4244-0155-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
18 | Xin Du, Yueqiao Li, Datong Xie, Lishan Kang |
A New Algorithm of Automatic Programming: GEGEP. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SEAL ![In: Simulated Evolution and Learning, 6th International Conference, SEAL 2006, Hefei, China, October 15-18, 2006, Proceedings, pp. 292-301, 2006, Springer, 3-540-47331-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Gene Estimated Gene Expression Programming, Genetic Programming, Estimation of Distribution Algorithm, Gene Expression Programming |
18 | Yu Zhang, Shude Zhou, Tangwen Yang, Zengqi Sun |
Optimal Motion Generation of Flexible Macro-micro Manipulator Systems Using Estimation of Distribution Algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SEAL ![In: Simulated Evolution and Learning, 6th International Conference, SEAL 2006, Hefei, China, October 15-18, 2006, Proceedings, pp. 913-920, 2006, Springer, 3-540-47331-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
18 | Christophe Alexandre, Marek Sroka, Hugo Clément, Christian Masson |
Zephyr: A Static Timing Analyzer Integrated in a Trans-hierarchical Refinement Design Flow. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PATMOS ![In: Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation, 16th International Workshop, PATMOS 2006, Montpellier, France, September 13-15, 2006, Proceedings, pp. 319-328, 2006, Springer, 3-540-39094-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
18 | Jonathan L. Shapiro |
Diversity Loss in General Estimation of Distribution Algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPSN ![In: Parallel Problem Solving from Nature - PPSN IX, 9th International Conference, Reykjavik, Iceland, September 9-13, 2006, Procedings, pp. 92-101, 2006, Springer, 3-540-38990-3. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
18 | Mamdouh Ibrahim, Opher Etzion |
Workshop on event driven architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OOPSLA Companion ![In: Companion to the 21th Annual ACM SIGPLAN Conference on Object-Oriented Programming, Systems, Languages, and Applications, OOPSLA 2006, October 22-26, 2006, Portland, Oregon, USA, pp. 624, 2006, ACM, 1-59593-491-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
18 | Lingyun Hu, Changjiu Zhou, Zengqi Sun |
Estimating Probability Distribution with Q-learning for Biped Gait Generation and Optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IROS ![In: 2006 IEEE/RSJ International Conference on Intelligent Robots and Systems, IROS 2006, October 9-15, 2006, Beijing, China, pp. 362-367, 2006, IEEE, 1-4244-0258-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
18 | Satoru Ito |
Challenging device innovation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, Yokohama, Japan, January 24-27, 2006, 2006, IEEE, 0-7803-9451-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
18 | Bin Li 0025, Xianji Wang, Run-tian Zhong, Zhenquan Zhuang |
Continuous Optimization based-on Boosting Gaussian Mixture Model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPR (1) ![In: 18th International Conference on Pattern Recognition (ICPR 2006), 20-24 August 2006, Hong Kong, China, pp. 1192-1195, 2006, IEEE Computer Society, 0-7695-2521-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
18 | Ad M. G. Peeters |
Clockless IC design using handshake technology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2006 International Symposium on Physical Design, ISPD 2006, San Jose, California, USA, April 9-12, 2006, pp. 169, 2006, ACM, 1-59593-299-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
18 | Kun Tong, Jinian Bian, Haili Wang |
Universal data model platform: the data-centric evolution for system level codesign. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSCWD ![In: Proceedings of the 10th International Conference on CSCW in Design, CSCWD 2006, May 3-5, 2006, Southeast University, Nanjing, China, pp. 1037-1042, 2006, IEEE, 1-4244-0165-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
18 | Feng Liu, Juan Liu 0007, Jing Feng, Huaibei Zhou |
Estimation Distribution of Algorithm for Fuzzy Clustering Gene Expression Data. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICNC (2) ![In: Advances in Natural Computation, Second International Conference, ICNC 2006, Xi'an, China, September 24-28, 2006. Proceedings, Part II, pp. 328-335, 2006, Springer, 3-540-45907-3. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
18 | Zhaohui Fu, Sharad Malik |
Solving the minimum-cost satisfiability problem using SAT based branch-and-bound search. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2006 International Conference on Computer-Aided Design, ICCAD 2006, San Jose, CA, USA, November 5-9, 2006, pp. 852-859, 2006, ACM, 1-59593-389-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
MinCostSAT, optimization, branch-and-bound, Boolean satisfiability |
18 | Anand Pramod Kulkarni, Thomas J. Grebinski |
mTest: An Industry-Wide Database of VLSI Layouts for Quality Control. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 7th International Symposium on Quality of Electronic Design (ISQED 2006), 27-29 March 2006, San Jose, CA, USA, pp. 659-664, 2006, IEEE Computer Society, 0-7695-2523-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
18 | Charles C. Chiang, Jamil Kawa |
Three DFM Challenges: Random Defects, Thickness Variation, and Printability Variation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APCCAS ![In: IEEE Asia Pacific Conference on Circuits and Systems 2006, APCCAS 2006, Singapore, 4-7 December 2006, pp. 1099-1102, 2006, IEEE, 1-4244-0387-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
18 | Chia-Jui Hsu, Suren Ramasubbu, Ming-Yung Ko, José Luis Pino, Shuvra S. Bhattacharyya |
Efficient simulation of critical synchronous dataflow graphs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 43rd Design Automation Conference, DAC 2006, San Francisco, CA, USA, July 24-28, 2006, pp. 893-898, 2006, ACM, 1-59593-381-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
simulation, scheduling, synchronous dataflow |
18 | Zhihong Liu, Bruce McGaughy, James Z. Ma |
Design tools for reliability analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 43rd Design Automation Conference, DAC 2006, San Francisco, CA, USA, July 24-28, 2006, pp. 182-187, 2006, ACM, 1-59593-381-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
design-in reliability, reliability simulation, HCI, EM, NBTI |
18 | Vasco M. Manquinho, João Marques-Silva 0001 |
Effective Lower Bounding Techniques for Pseudo-Boolean Optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2005 Design, Automation and Test in Europe Conference and Exposition (DATE 2005), 7-11 March 2005, Munich, Germany, pp. 660-665, 2005, IEEE Computer Society, 0-7695-2288-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
18 | Reza Rastegar, Mohammad Reza Meybodi |
A Study on the Global Convergence Time Complexity of Estimation of Distribution Algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RSFDGrC (1) ![In: Rough Sets, Fuzzy Sets, Data Mining, and Granular Computing, 10th International Conference, RSFDGrC 2005, Regina, Canada, August 31 - September 3, 2005, Proceedings, Part I, pp. 441-450, 2005, Springer, 3-540-28653-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
18 | Atsushi Kurokawa, Masaharu Yamamoto, Nobuto Ono, Tetsuro Kage, Yasuaki Inoue, Hiroo Masuda |
Capacitance and Yield Evaluations Using a 90-nm Process Technology Based on the Dense Power-Ground Interconnect Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 6th International Symposium on Quality of Electronic Design (ISQED 2005), 21-23 March 2005, San Jose, CA, USA, pp. 153-158, 2005, IEEE Computer Society, 0-7695-2301-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
18 | Fadi A. Aloul, Arathi Ramani, Igor L. Markov, Karem A. Sakallah |
ShatterPB: symmetry-breaking for pseudo-Boolean formulas. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, Yokohama, Japan, January 27-30, 2004, pp. 883-886, 2004, IEEE Computer Society, 0-7803-8175-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
18 | Wolfgang Nebel |
Predictable design of low power systems by pre-implementation estimation and optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, Yokohama, Japan, January 27-30, 2004, pp. 12-17, 2004, IEEE Computer Society, 0-7803-8175-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
18 | Sergey Malinchik, Eric Bonabeau |
Exploratory Data Analysis with Interactive Evolution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GECCO (2) ![In: Genetic and Evolutionary Computation - GECCO 2004, Genetic and Evolutionary Computation Conference, Seattle, WA, USA, June 26-30, 2004, Proceedings, Part II, pp. 1151-1161, 2004, Springer, 3-540-22343-6. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
data mining, exploratory data analysis, Interactive evolutionary computation |
18 | Jörn Grahl, Franz Rothlauf |
PolyEDA: Combining Estimation of Distribution Algorithms and Linear Inequality Constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GECCO (1) ![In: Genetic and Evolutionary Computation - GECCO 2004, Genetic and Evolutionary Computation Conference, Seattle, WA, USA, June 26-30, 2004, Proceedings, Part I, pp. 1174-1185, 2004, Springer, 3-540-22344-4. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
18 | William Adams, Warren A. Hunt Jr., Damir Jamsek |
Verisym: Verifying Circuits by Symbolic Simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Formal Methods Syst. Des. ![In: Formal Methods Syst. Des. 22(2), pp. 163-173, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
circuit extraction, formal property checking, memory verification, symbolic simulation |
18 | João Marques-Silva 0001, Luís Guerra e Silva |
Solving Satisfiability in Combinational Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test Comput. ![In: IEEE Des. Test Comput. 20(4), pp. 16-21, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
18 | Hanning Zhou, Thomas S. Huang |
Tracking Articulated Hand Motion with Eigen Dynamics Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCV ![In: 9th IEEE International Conference on Computer Vision (ICCV 2003), 14-17 October 2003, Nice, France, pp. 1102-1109, 2003, IEEE Computer Society, 0-7695-1950-4. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
18 | Pierluigi Daglio, Carlo Roma |
A Fully Qualified Top-Down and Bottom-Up Mixed-Signal Design Flow for Non Volatile Memories Technologies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2003 Design, Automation and Test in Europe Conference and Exposition (DATE 2003), 3-7 March 2003, Munich, Germany, pp. 20274-20279, 2003, IEEE Computer Society, 0-7695-1870-2. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
18 | Daniel Geist |
The PSL/Sugar Specification Language A Language for all Seasons. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHARME ![In: Correct Hardware Design and Verification Methods, 12th IFIP WG 10.5 Advanced Research Working Conference, CHARME 2003, L'Aquila, Italy, October 21-24, 2003, Proceedings, pp. 3, 2003, Springer, 3-540-20363-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
18 | Onur Dikmen, H. Levent Akin, Ethem Alpaydin |
Estimating Distributions in Genetic Algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCIS ![In: Computer and Information Sciences - ISCIS 2003, 18th International Symposium, Antalya, Turkey, November 3-5, 2003, Proceedings, pp. 521-528, 2003, Springer, 3-540-20409-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
18 | David L. Dill, Patrick Lincoln |
Evolution as Design Engineer. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CMSB ![In: Computational Methods in Systems Biology, First International Workshop, CMSB 2003, Roverto, Italy, February 24-26, 2003, Proceedings, pp. 202-206, 2003, Springer, 3-540-00605-2. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
18 | Daniel Fan, Steve Roehling, Rusty Carruth |
Case Study - Using STIL as Test Pattern Language. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: Proceedings 2003 International Test Conference (ITC 2003), Breaking Test Interface Bottlenecks, 28 September - 3 October 2003, Charlotte, NC, USA, pp. 811-817, 2003, IEEE Computer Society, 0-7803-8106-8. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
18 | John Ferguson |
The Glue in a Confident SoC Flow. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWSOC ![In: Proceedings of the 3rd IEEE International Workshop on System-on-Chip for Real-Time Applications (IWSOC'03), 30 June - 2 July 2003, Calgary, Alberta, Canada, pp. 316-319, 2003, IEEE Computer Society, 0-7695-1944-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
manufacturing requirements, gold standard, single tool flow, design-to-silicon, designstyle independence, confident data transfer, Integration |
18 | Marco Laumanns, Jiri Ocenasek |
Bayesian Optimization Algorithms for Multi-objective Optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPSN ![In: Parallel Problem Solving from Nature - PPSN VII, 7th International Conference, Granada, Spain, September 7-11, 2002, Proceedings, pp. 298-307, 2002, Springer, 3-540-44139-5. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
18 | Fadi A. Aloul, Arathi Ramani, Igor L. Markov, Karem A. Sakallah |
Generic ILP versus specialized 0-1 ILP: an update. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, ICCAD 2002, San Jose, California, USA, November 10-14, 2002, pp. 450-457, 2002, ACM / IEEE Computer Society, 0-7803-7607-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
18 | Kate Moore |
Resel filtering to aid visualisation within an exploratory data analysis system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Geogr. Syst. ![In: J. Geogr. Syst. 2(4), pp. 375-398, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
18 | Elias N. Malamas, Athanasios G. Malamos, Theodora A. Varvarigou |
Fast Implementation of Binary Morphological Operations on Hardware-Efficient Systolic Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. VLSI Signal Process. ![In: J. VLSI Signal Process. 25(1), pp. 79-93, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
18 | Joonyoung Kim, Jesse Whittemore, Karem A. Sakallah, João P. Marques Silva |
On Applying Incremental Satisfiability to Delay Fault Testing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2000 Design, Automation and Test in Europe (DATE 2000), 27-30 March 2000, Paris, France, pp. 380-384, 2000, IEEE Computer Society / ACM, 0-7695-0537-6. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
18 | Wonjae L. Kang, Brad Potts, Ray Hokinson, John Riley, David Doman, Frank Cano, N. S. Nagaraj, Noel Durrant |
Enabling DIR(Designing-In-Reliability) through CAD Capabilities. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 1st International Symposium on Quality of Electronic Design (ISQED 2000), 20-22 March 2000, San Jose, CA, USA, pp. 151-156, 2000, IEEE Computer Society, 0-7695-0525-2. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
SEMATECH, design-in-reliability, reliability, Design tools |
18 | Sharad Malik, D. K. Arvind 0001, Edward A. Lee, Phil Koopman, Alberto L. Sangiovanni-Vincentelli, Wayne H. Wolf |
Embedded systems education (panel abstract). ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 37th Conference on Design Automation, Los Angeles, CA, USA, June 5-9, 2000., pp. 519, 2000, ACM. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
18 | K. C. Chang 0001, C. A. Lomasney |
Obsolete integrated circuit replacement methodology using advanced electronic design automation technology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (1) ![In: Proceedings of the 1999 International Symposium on Circuits and Systems, ISCAS 1999, Orlando, Florida, USA, May 30 - June 2, 1999, pp. 400-403, 1999, IEEE, 0-7803-5471-0. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
18 | S. Grout, G. Ledenbach, R. G. Bushroe, P. Fisher, Donald Cottrell, David Mallis, S. DasGupta, Joseph Morrell, Amrich Chokhavtia |
CHDStd - application support for reusable hierarchical interconnect timing views. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 1998 International Symposium on Physical Design, ISPD 1998, Monterey, CA, USA, April 6-8, 1998, pp. 75-79, 1998, ACM, 1-58113-021-X. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
17 | Igor L. Markov |
Master numerical tasks with ease. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test Comput. ![In: IEEE Des. Test Comput. 27(1), pp. 93-95, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
EDA software, signal processing, numerical analysis |
17 | B. K. Mishra 0001, Sandhya Save |
Novel CAD Design Methodology for Two Stage Opamp with Noise-Power Balance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSAP ![In: 2010 International Conference on Signal Acquisition and Processing, ICSAP 2010, Bangalore, India, February 9-10, 2010, pp. 287-290, 2010, IEEE Computer Society, 978-0-7695-3960-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
Analog circuit designs methodologies, Analog design automation, Op-amps, AMS, Simulated Annealing, CAD, SoC, ASIC, SPICE, EDA tools |
17 | Hiroshi Dempo |
QOS evaluations of distributed event orchestration system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DEBS ![In: Proceedings of the Third ACM International Conference on Distributed Event-Based Systems, DEBS 2009, Nashville, Tennessee, USA, July 6-9, 2009, 2009, ACM, 978-1-60558-665-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
performance, event driven architecture (EDA), publish/subscribe systems |
17 | Yongquan Yin, Xiang Liu, Jianchun Wang |
Application of Environmental Carrying Capacity in Regional Environmental Impact Assessment: A Case Study on Shandong Rencheng Economic. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESIAT (2) ![In: 2009 International Conference on Environmental Science and Information Application Technology, ESIAT 2009, Wuhan, China, 4-5 July 2009, 3 Volumes, pp. 44-46, 2009, IEEE Computer Society, 978-0-7695-3682-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
environmental carrying capacity (ECC), regional environmental impact assessment (REIA), economic development area (EDA), South-to-North water diversion |
17 | Mi Zhang, Guang Hu, Shi-liang Tu, ZhiLei Chai |
Dynamic Electronic Design Automation concept, benefit and framework. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIS ![In: Proceedings of the 2nd International Conference on Interaction Sciences: Information Technology, Culture and Human (ICIS 2009), Seoul, Korea, 24-26 November 2009, pp. 1086-1090, 2009, ACM, 978-1-60558-710-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
dynamic EDA, system-level design language, reflection, dynamicity, Python, introspection |
17 | Steve Golson, Pete Churchill |
Flow engineering for physical implementation: theory and practice. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 45th Design Automation Conference, DAC 2008, Anaheim, CA, USA, June 8-13, 2008, pp. 1, 2008, ACM, 978-1-60558-115-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
EDA interoperability, design methodology, physical design, integrated circuit design, flow |
17 | Heiko Klarl, Markus Preitsameter |
Securing Service-Oriented and Event-Driven Architectures Results of an Evaluation of Enterprise Security Frameworks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SCW ![In: Proceedings of the 2006 IEEE Services Computing Workshops (SCW 2006), 18-22 September 2006, Chicago, Illinois, USA, pp. 89, 2006, IEEE Computer Society, 0-7695-2681-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Securing business processes, Security, Service Oriented Architecture (SOA), Event Driven Architecture (EDA), Model driven security |
17 | Alexander B. Smirnov, Alexander Taubin, Ming Su, Mark G. Karpovsky |
An Automated Fine-Grain Pipelining Using Domino Style Asynchronous Library. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACSD ![In: Fifth International Conference on Application of Concurrency to System Design (ACSD 2005), 6-9 June 2005, St. Malo, France, pp. 68-76, 2005, IEEE Computer Society, 0-7695-2363-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
asynchronous EDA, QDI, synthesis, ASIC, HDL |
17 | Lech Józwiak |
Quality-Driven System-on-a-Chip Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 1st International Symposium on Quality of Electronic Design (ISQED 2000), 20-22 March 2000, San Jose, CA, USA, pp. 93-, 2000, IEEE Computer Society, 0-7695-0525-2. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
quality-driven design, design methodologies, design methods, system-on-a-chip, design reuse, design modeling, design exploration, design validation, EDA tools |
16 | Yushan Li, Satoshi Fujita |
A Synergistic Elixir-EDA-MQTT Framework for Advanced Smart Transportation Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Future Internet ![In: Future Internet 16(3), pp. 81, March 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
|
|