The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ICCD"( http://dblp.L3S.de/Venues/ICCD )

URL (DBLP): http://dblp.uni-trier.de/db/conf/iccd

Publication years (Num. hits)
1988 (125) 1989 (116) 1990 (107) 1991 (128) 1992 (120) 1993 (114) 1994 (116) 1995 (97) 1996 (83) 1997 (104) 1998 (97) 1999 (102) 2000 (94) 2001 (89) 2002 (90) 2003 (92) 2004 (91) 2005 (116) 2006 (82) 2007 (94) 2008 (107) 2009 (81) 2010 (84) 2011 (84) 2012 (100) 2013 (82) 2014 (81) 2015 (115) 2016 (108) 2017 (111) 2018 (86) 2019 (93) 2020 (103) 2021 (88) 2022 (107) 2023 (89)
Publication types (Num. hits)
inproceedings(3546) proceedings(30)
Venues (Conferences, Journals, ...)
ICCD(3576)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1102 occurrences of 642 keywords

Results
Found 3576 publication records. Showing 3576 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Nishit Ashok Kapadia, Sudeep Pasricha PRATHAM: A power delivery-aware and thermal-aware mapping framework for parallel embedded applications on 3D MPSoCs. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Massimo Petricca, Donghwa Shin, Alberto Bocca, Alberto Macii, Enrico Macii, Massimo Poncino Automated generation of battery aging models from datasheets. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Te-Hsuan Chen, John P. Hayes Analyzing and controlling accuracy in stochastic circuits. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Bei Zhang, Vishwani D. Agrawal An optimized diagnostic procedure for pre-bond TSV defects. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Michael J. Lyons 0003, Gu-Yeon Wei, David M. Brooks Multi-accelerator system development with the ShrinkFit acceleration framework. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ying Zhang 0016, Li Zhao 0002, Ramesh Illikkal, Ravi R. Iyer 0001, Andrew Herdrich, Lu Peng 0001 QoS management on heterogeneous architecture for parallel applications. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jiun-Yi Chiang, Jun-Hua Kuo, Ting-Shuo Hsu, Jing-Jia Liou Chip clustering with mutual information on multiple clock tests and its application to yield tuning. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ryota Shioya, Hideki Ando Energy efficiency improvement of renamed trace cache through the reduction of dependent path length. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Amr Elshennawy, Sunil P. Khatri An asynchronous Network-on-Chip router with low standby power. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Shrikanth Ganapathy, Ramon Canal, Antonio González 0001, Antonio Rubio 0001 iRMW: A low-cost technique to reduce NBTI-dependent parametric failures in L1 data caches. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Chi-Yuan Liu, Yao-Wen Chang Simultaneous EUV flare- and CMP-aware placement. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Wei-Ting Jonas Chan, Andrew B. Kahng, Siddhartha Nath, Ichiro Yamamoto The ITRS MPU and SOC system drivers: Calibration and implications for design-based equivalent scaling in the roadmap. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yao Li 0004, Antonio Roldao Lopes, Zhouyun Xu, Zhengwei Qi, Haibing Guan ScalaHDL: Express and test hardware designs in a Scala DSL. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Zhongdong Qi, Yici Cai, Qiang Zhou 0001 Accurate prediction of detailed routing congestion using supervised data learning. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Tao Zhang 0046, Xiaoyao Liang Dynamic front-end sharing in graphics processing units. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ozan Tuncer, Kalyan Vaidyanathan, Kenny C. Gross, Ayse K. Coskun CoolBudget: Data center power budgeting with workload and cooling asymmetry awareness. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Chris Fallin, Chris Wilkerson, Onur Mutlu The heterogeneous block architecture. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Hang Zhang 0031, Wei Zhang 0044, John C. Lach A low-power accuracy-configurable floating point multiplier. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Chia-Chi Huang, Chang-Tzu Lin, Wei-Syun Liao, Chieh-Jui Lee, Hung-Ming Chen, Chia-Hsin Lee, Ding-Ming Kwai Improving power delivery network design by practical methodologies. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Xiang Pan, Radu Teodorescu NVSleep: Using non-volatile memory to enable fast sleep/wakeup of idle cores. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Raghavan Kumar, Wayne P. Burleson Hybrid modeling attacks on current-based PUFs. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ran Wang 0002, Krishnendu Chakrabarty, Sudipta Bhawmik Built-in self-test for interposer-based 2.5D ICs. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Vidura Wijayasekara, Sudarshan K. Srinivasan, Scott C. Smith Equivalence verification for NULL Convention Logic (NCL) circuits. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Seungjae Baek, Daeyeon Son, Dongwoo Kang, Jongmoo Choi, Sangyeun Cho Design space exploration of an NVM-based memory hierarchy. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Siddharth Advani, Nandhini Chandramoorthy, Karthik Swaminathan, Kevin M. Irick, Yong Cheol Peter Cho, Jack Sampson, Vijaykrishnan Narayanan Refresh Enabled Video Analytics (REVA): Implications on power and performance of DRAM supported embedded visual systems. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Can Sitik, Scott Lerner, Baris Taskin Timing characterization of clock buffers for clock tree synthesis. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Youyou Lu, Jiwu Shu, Long Sun, Onur Mutlu Loose-Ordering Consistency for persistent memory. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Xin Tong 0005, Andreas Moshovos BarTLB: Barren page resistant TLB for managed runtime languages. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Mengying Zhao, Liang Shi, Chengmo Yang, Chun Jason Xue Leveling to the last mile: Near-zero-cost bit level wear leveling for PCM-based main memory. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Elliott Forbes, Niket Kumar Choudhary, Brandon H. Dwiel, Eric Rotenberg Design-effort alloy: Boosting a highly tuned primary core with untuned alternate cores. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Walid J. Ghandour, Nadine J. Ghandour Leveraging dynamic slicing to enhance indirect branch prediction. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Akhil Arunkumar, Carole-Jean Wu ReMAP: Reuse and memory access cost aware eviction policy for last level cache management. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Beayna Grigorian, Glenn Reinman Accelerating divergent applications on SIMD architectures using neural networks. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Pietro Mercati, Francesco Paterna, Andrea Bartolini, Luca Benini, Tajana Simunic Rosing Dynamic variability management in mobile multicore processors under lifetime constraints. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Cheng-Chieh Huang, Vijay Nagarajan Increasing cache capacity via critical-words-only cache. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Chris S. Lee, Kevin M. Irick, Jack Sampson, Chuanjun Zhang, Vijaykrishnan Narayanan Exploiting natural redundancy in visual information. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yaman Umuroglu, Magnus Jahre An energy efficient column-major backend for FPGA SpMV accelerators. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jaehyeong Sim, Jun-Seok Park, Seungwook Paek, Lee-Sup Kim Timing error masking by exploiting operand value locality in SIMD architecture. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Seokin Hong, Jongmin Lee 0002, Soontae Kim Ternary cache: Three-valued MLC STT-RAM caches. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Chen Liu 0013, Chengmo Yang Improving multilevel PCM reliability through age-aware reading and writing strategies. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yogesh Murarka, Pankaj Shailendra Gode, Sirish Kumar Pasupuleti, Soma Kohli Software pipelining of dataflow programs with dynamic constructs on multi-core processor. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ishan G. Thakkar, Sudeep Pasricha 3D-Wiz: A novel high bandwidth, optically interfaced 3D DRAM architecture with reduced random access time. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Giordano Salvador, Siddharth Nilakantan, Baris Taskin, Mark Hempstead, Ankit More Static thread mapping for NoCs via binary instrumentation traces. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1 32nd IEEE International Conference on Computer Design, ICCD 2014, Seoul, South Korea, October 19-22, 2014 Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  BibTeX  RDF
1Behnam Sedighi, Joseph J. Nahas, Michael T. Niemier, Xiaobo Sharon Hu Boolean circuit design using emerging tunneling devices. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Cristiana Bolchini, Matteo Carminati, Marco Gribaudo, Antonio Miele A lightweight and open-source framework for the lifetime estimation of multicore systems. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Mustafa Badaroglu, Kwok Ng, Mehdi Salmani Jelodar, SungGeun Kim, Gerhard Klimeck, Chorng-Ping Chang, Charles Cheung, Yuzo Fukuzaki More Moore landscape for system readiness - ITRS2.0 requirements. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Qing Xie 0001, Yanzhi Wang, Shuang Chen 0001, Massoud Pedram Variation-aware joint optimization of the supply voltage and sleep transistor size for the 7nm FinFET technology. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Alireza Shafaei, Yanzhi Wang, Massoud Pedram Low write-energy STT-MRAMs using FinFET-based access transistors. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Costas Iordanou, Vassos Soteriou, Konstantinos Aisopos Hermes: Architecting a top-performing fault-tolerant routing algorithm for Networks-on-Chips. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Rani S. Ghaida, Yasmine Badr, Puneet Gupta 0001 Pattern-restricted design at 10nm and beyond. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Guanwen Zhong, Vanchinathan Venkataramani, Yun Liang 0001, Tulika Mitra, Smaïl Niar Design space exploration of multiple loops on FPGAs using high level synthesis. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jianxing Wang, Pooja Roy, Weng-Fai Wong, Xiuyuan Bi, Hai Li 0001 Optimizing MLC-based STT-RAM caches by dynamic block size reconfiguration. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Gary Smith 0001 Updates of the ITRS design cost and power models. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Mohammad Hashem Haghbayan, Amir-Mohammad Rahmani, Awet Yemane Weldezion, Pasi Liljeberg, Juha Plosila, Axel Jantsch, Hannu Tenhunen Dark silicon aware power management for manycore systems under dynamic workloads. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Fulya Kaplan, Charlie De Vivero, Samuel Howes, Manish Arora, Houman Homayoun, Wayne P. Burleson, Dean M. Tullsen, Ayse K. Coskun Modeling and analysis of Phase Change Materials for efficient thermal management. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Lavanya Subramanian, Donghyuk Lee, Vivek Seshadri, Harsha Rastogi, Onur Mutlu The Blacklisting Memory Scheduler: Achieving high performance and fairness at low cost. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Darshana Jayasinghe, Roshan G. Ragel, Jude Angelo Ambrose, Aleksandar Ignjatovic, Sri Parameswaran Advanced modes in AES: Are they safe from power analysis based side channel attacks? Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Manish Rana, Ramon Canal REEM: Failure/non-failure region estimation method for SRAM yield analysis. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yuchun Ma, Jinglan Liu, Chao Zhang, Wayne Luk HW/SW partitioning for region-based dynamic partial reconfigurable FPGAs. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Tuck-Boon Chan, Sorin Dobre, Andrew B. Kahng Improved signoff methodology with tightened BEOL corners. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Juan Antonio Carballo, Wei-Ting Jonas Chan, Paolo A. Gargini, Andrew B. Kahng, Siddhartha Nath ITRS 2.0: Toward a re-framing of the Semiconductor Technology Roadmap. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Daming Zhang, Shuangchen Li, Ang Li, Yongpan Liu, Xiaobo Sharon Hu, Huazhong Yang Intra-task scheduling for storage-less and converter-less solar-powered nonvolatile sensor nodes. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1N. G. Chetan Kumar, Sudhanshu Vyas, Ron K. Cytron, Christopher D. Gill, Joseph Zambreno, Phillip H. Jones Cache design for mixed criticality real-time systems. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Hideyuki Ichihara, Shota Ishii, Daiki Sunamori, Tsuyoshi Iwagaki, Tomoo Inoue Compact and accurate stochastic circuits with shared random number sources. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jiyang Yu, Peng Liu 0016 A Thread-Aware Adaptive Data Prefetcher. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Xue Lin, Yanzhi Wang, Naehyuck Chang, Massoud Pedram Power supply and consumption co-optimization of portable embedded systems with hybrid power supply. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Vinay B. Y. Kumar, Shovan Maity, Sachin B. Patkar Storage-allocation to sequential structures in High-Level Synthesis-assisted prototyping. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Andreas Steininger, Varadan Savulimedu Veeravalli, Dan Alexandrescu, Enrico Costenaro, Lorena Anghel Exploring the state dependent SET sensitivity of asynchronous logic - The muller-pipeline example. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Karthikeyan Dayalan, Meltem Ozsoy, Dmitry V. Ponomarev Dynamic associative caches: Reducing dynamic energy of first level caches. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Fei Xia, Dejun Jiang 0001, Jin Xiong, Ninghui Sun Write-aware random page initialization for non-volatile memory systems. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Viacheslav V. Fedorov, Monther Abusultan, Sunil P. Khatri An area-efficient Ternary CAM design using floating gate transistors. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Congming Gao, Liang Shi, Kaijie Wu 0001, Chun Jason Xue, Edwin Hsing-Mean Sha Exploit asymmetric error rates of cell states to improve the performance of flash memory storage systems. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Paula Aguilera, Katherine Morrow, Nam Sung Kim Fair share: Allocation of GPU resources for both performance and fairness. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Levent Aksoy, Paulo F. Flores, José Monteiro 0001 Efficient design of FIR filters using hybrid multiple constant multiplications on FPGA. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jue Wang, Xiangyu Dong, Yuan Xie 0001 ProactiveDRAM: A DRAM-initiated retention management scheme. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Wei Wei, Dejun Jiang 0001, Jin Xiong, Mingyu Chen 0001 HAP: Hybrid-memory-Aware Partition in shared Last-Level Cache. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Robert C. Aitken, David Pietromonaco, Brian Cline DFM is dead - Long live DFM. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Satoshi Imamura, Hiroshi Sasaki 0001, Koji Inoue, Dimitrios S. Nikolopoulos Power-capped DVFS and thread allocation with ANN models on modern NUMA systems. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Pritam Majumder, T. Venkata Kalyan, Madhu Mutyam SFFMap: Set-First Fill mapping for an energy efficient pipelined data cache. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Stelios N. Neophytou, Maria K. Michael Optimal variable ordering in ZBDD-based path representations for directed acyclic graphs. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Dawei Li, Ji-Hoon Kim, Seda Ogrenci Memik Integrating thermocouple sensors into 3D ICs. Search on Bibsonomy ICCD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Fan Yao, Jie Chen 0020, Guru Venkataramani JOP-alarm: Detecting jump-oriented programming-based anomalies in applications. Search on Bibsonomy ICCD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Matheus Trevisan Moreira, Ney Laert Vilar Calazans Voltage scaling on C-elements: A speed, power and energy efficiency analysis. Search on Bibsonomy ICCD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Steven J. Battle, Mark Hempstead Register allocation and VDD-gating algorithms for out-of-order architectures. Search on Bibsonomy ICCD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Qingchuan Shi, Farrukh Hijaz, Omer Khan Towards efficient dynamic data placement in NoC-based multicores. Search on Bibsonomy ICCD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Karina Gitina, Sven Reimer, Matthias Sauer 0002, Ralf Wimmer 0001, Christoph Scholl 0001, Bernd Becker 0001 Equivalence checking of partial designs using dependency quantified Boolean formulae. Search on Bibsonomy ICCD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Bhawna Nayak, John Jose, Madhu Mutyam SLIDER: Smart Late Injection DEflection Router for mesh NoCs. Search on Bibsonomy ICCD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Guangshuo Liu, Jinpyo Park, Diana Marculescu Dynamic thread mapping for high-performance, power-efficient heterogeneous many-core systems. Search on Bibsonomy ICCD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Ying Teng, Baris Taskin Resonant frequency divider design methodology for dynamic frequency scaling. Search on Bibsonomy ICCD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Nikos Foutris, Dimitris Gizopoulos, John Kalamatianos, Vilas Sridharan Assessing the impact of hard faults in performance components of modern microprocessors. Search on Bibsonomy ICCD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Xiao Liu, John Kubiatowicz Chisel-Q: Designing quantum circuits with a scala embedded language. Search on Bibsonomy ICCD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Infall Syafalni, Tsutomu Sasao A TCAM generator for packet classification. Search on Bibsonomy ICCD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Tosiron Adegbija, Ann Gordon-Ross Exploiting dynamic phase distance mapping for phase-based tuning of embedded systems. Search on Bibsonomy ICCD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Kuan Fang, Yufei Ni, Jiayuan He 0005, Zonghui Li, Shuai Mu 0002, Yangdong Deng FastLanes: An FPGA accelerated GPU microarchitecture simulator. Search on Bibsonomy ICCD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Ankur Sharma, Joseph Sloan, Lucas Francisco Wanner, Salma Elmalaki 0001, Mani B. Srivastava, Puneet Gupta 0001 Towards analyzing and improving robustness of software applications to intermittent and permanent faults in hardware. Search on Bibsonomy ICCD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Vikram B. Suresh, Sandip Kundu Managing test coverage uncertainty due to thermal noise in nano-CMOS: A case-study on an SRAM array. Search on Bibsonomy ICCD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Li Lei, Kai Cong, Fei Xie Optimizing post-silicon conformance checking. Search on Bibsonomy ICCD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Madhushika M. E. Karunarathna, Yu-Chu Tian, Colin J. Fidge, Ross Hayward Algorithm clustering for multi-algorithm processor design. Search on Bibsonomy ICCD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jianlei Yang 0001, Yici Cai, Qiang Zhou 0001, Wei Zhao Selected inversion for vectorless power grid verification by exploiting locality. Search on Bibsonomy ICCD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
Displaying result #901 - #1000 of 3576 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license