The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "Integr."( http://dblp.L3S.de/Venues/Integr. )

URL (DBLP): http://dblp.uni-trier.de/db/journals/integration

Publication years (Num. hits)
1983 (21) 1984 (20) 1985 (23) 1986 (26) 1987 (23) 1988 (17) 1989 (40) 1990 (28) 1991 (49) 1992 (26) 1993 (39) 1994 (18) 1995 (19) 1996 (17) 1997 (30) 1998 (22) 1999 (16) 2000 (20) 2001-2002 (27) 2003 (26) 2004 (32) 2005 (21) 2006 (22) 2007 (50) 2008 (46) 2009 (49) 2010 (32) 2011 (28) 2012 (41) 2013 (42) 2014 (51) 2015 (69) 2016 (108) 2017 (120) 2018 (118) 2019 (145) 2020 (96) 2021 (98) 2022 (103) 2023 (154) 2024 (40)
Publication types (Num. hits)
article(1972)
Venues (Conferences, Journals, ...)
Integr.(1972)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 1972 publication records. Showing 1972 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1João Casaleiro, Luís B. Oliveira, Igor M. Filanovsky A quadrature RC-oscillator with capacitive coupling. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Esmaeil Fatemi-Behbahani, Ebrahim Farshidi, Karim Ansari-Asl A new approach to analysis of residue probability density function in pipelined ADCs. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1O. Bellaaj Kchaou, Amel Garbaya, Mouna Kotti, Pedro Pereira 0001, Mourad Fakhfakh, M. Helena Fino Sensitivity aware NSGA-II based Pareto front generation for the optimal sizing of analog circuits. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jian Kuang 0001, Evangeline F. Y. Young Row-structure stencil planning approaches for E-beam lithography with overlapped characters. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Zhiting Yan, Guanghui He, Weifeng He, Shuaijie Wang, Zhigang Mao High performance parallel turbo decoder with configurable interleaving network for LTE application. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Muhammad Athar Javed Sethi, Fawnizu Azmadi Hussin, Nor Hisham Hamid Bio-inspired NoC fault tolerant techniques using guaranteed throughput and best effort services. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Antonio Toro-Frías, Pablo Martín-Lloret, Javier Martín-Martínez, Rafael Castro-López, Elisenda Roca, Rosana Rodríguez, Montserrat Nafría, Francisco V. Fernández 0001 Reliability simulation for analog ICs: Goals, solutions, and challenges. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Giulia Di Capua, Nicola Femia, Gianpaolo Lisi Impact of losses and mismatches on power and efficiency of Wireless Power Transfer Systems with controlled secondary-side rectifier. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1P. Balasubramanian 0001 Comments on "Dual-rail asynchronous logic multi-level implementation". Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Syed Rafay Hasan, Waqas Gul, Osman Hasan Clock domain crossing (CDC) in 3D-SICs: Semi QDI asynchronous vs loosely synchronous. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Victor R. Gonzalez-Diaz, Luis Abraham Sánchez-Gaspariano, Carlos Muñiz-Montero, Jose J. Alvarado-Pulido Improving linearity in MOS varactor based VCOs by means of the output quiescent bias point. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Salma Elabd, Waleed Khalil Impact of technology scaling on the tuning range and phase noise of mm-wave CMOS LC-VCOs. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Rabab Ezz-Eldin, Magdy A. El-Moursy, Hesham F. A. Hamed Corrigendum to "High throughput asynchronous NoC design under high process variation" [Integr. VLSI J. (2015) 1-13]. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Yin Li, Yi-yang Chen New bit-parallel Montgomery multiplier for trinomials using squaring operation. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Lior Moyal, Itamar Levi, Adam Teman, Alexander Fish Synthesis of Dual Mode Logic. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Pilin Junsangsri, Jie Han 0001, Fabrizio Lombardi Design of a hybrid non-volatile SRAM cell for concurrent SEU detection and correction. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jorge Alves Torres, João Costa Freire K Band SiGe HBT single ended active inductors. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Alireza Saberkari, Saman Ziabakhsh, Herminio Martínez, Eduard Alarcón Active inductor-based tunable impedance matching network for RF power amplifier application. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Zeinab Torabi, Ghassem Jaberipur Fast low energy RNS comparators for 4-moduli sets {2n±1, 2n, m} with m∈{2n+1±1, 2n-1-1}. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Zhiming Yang 0001, Yang Yu 0015, Chengcheng Zhang, Xiyuan Peng NBTI-aware adaptive minimum leakage vector selection using a linear programming approach. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Xin Huang 0003, Valeriy Sukharev, Jun-Ho Choy, Marko Chew, Taeyoung Kim 0001, Sheldon X.-D. Tan Electromigration assessment for power grid networks considering temperature and thermal stress effects. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ricardo Povoa, Ivan Bastos, Nuno Lourenço 0003, Nuno Horta Automatic synthesis of RF front-end blocks using multi-objective evolutionary techniques. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Xin Li 0001, Sheldon X.-D. Tan, Yu Wang 0002 Editorial: Special Issue on The 14th International Conference on Computer-Aided Design and Computer Graphics (CAD/Graphics 2015). Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Sun-Mi Park, Ku-Young Chang, Dowon Hong, Changho Seo Explicit formulae for Mastrovito matrix and its corresponding Toeplitz matrix for all irreducible pentanomials using shifted polynomial basis. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Hao Zhang 0078, Dongyi Ye, Wenzhong Guo A heuristic for constructing a rectilinear Steiner tree by reusing routing resources over obstacles. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Hailong Jiao, Yongmin Qiu, Volkan Kursun Variability-aware 7T SRAM circuit with low leakage high data stability SLEEP mode. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Yansong Gao, Omid Kavehei, Said F. Al-Sarawi, Damith Chinthana Ranasinghe, Derek Abbott Read operation performance of large selectorless cross-point array with self-rectifying memristive device. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Zhufei Chu, Yinshui Xia, Lun-Yao Wang Multi-supply voltage (MSV) driven SoC floorplanning for fast design convergence. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Zeinab Hojati, Mohammad Yavari An NTF-enhanced incremental ΣΔ modulator using a SAR quantizer. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Bao Liu, Gang Qu 0001 VLSI supply chain security risks and mitigation techniques: A survey. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Giray Kömürcü, Ali Emre Pusane, Günhan Dündar Effects of aging and compensation mechanisms in ordering based RO-PUFs. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jun Zhou 0022, Huawei Li 0001, Tiancheng Wang, Xiaowei Li 0001 LOFT: A low-overhead fault-tolerant routing scheme for 3D NoCs. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Günhan Dündar, Nuno Horta, Francisco V. Fernández 0001 Introduction to the special issue on SMACD 2015. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ning Ma, Zhuo Zou, Zhonghai Lu, Li-Rong Zheng 0001 Design and implementation of multi-mode routers for large-scale inter-core networks. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Gildas Léger, Manuel J. Barragán Brownian distance correlation-directed search: A fast feature selection technique for alternate test. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Sadiq M. Sait, Umair F. Siddiqi A stochastic evolution algorithm based 2D VLSI global router. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Elahe Rastegar Pashaki, Majid Shalchian Design and simulation of an ultra-low power high performance CMOS logic: DMTGDI. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Wasim Hussain, Olivier Valorge, Yves Blaquière, Yvon Savaria A novel spatially configurable differential interface for an electronic system prototyping platform. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Muharrem Orkun Saglamdemir, Gönenç Berkol, Günhan Dündar, Alper Sen 0001 An analog behavioral equivalence boundary search methodology for simulink models and circuit level designs utilizing evolutionary computation. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Pinaki Mazumder, D. Hu, Idongesit E. Ebong, Xu Zhang 0001, Z. Xu, Silvia Ferrari Digital implementation of a virtual insect trained by spike-timing dependent plasticity. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Kourosh Hassanli, Sayed Masoud Sayedi, Rasoul Dehghani, Armin Jalili, J. Jacob Wikner A low-power wide tuning-range CMOS current-controlled oscillator. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Azadeh Safari, Cheeckottu Vayalil Niras, Yinan Kong Power-performance enhancement of two-dimensional RNS-based DWT image processor using static voltage scaling. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Lidong Xing, Tao Li, Hucai Huang, Qingsheng Zhang, Jungang Han Efficient modeling and analysis of energy consumption for 3D graphics rendering. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1David del Rio, Iñaki Gurutzeaga, Héctor Solar, Andoni Beriain, Roc Berenguer Layout-aware design methodology for a 75 GHz power amplifier in a 55 nm SiGe technology. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Bahram Rashidi, Sayed Masoud Sayedi, Reza Rezaeian Farashahi An efficient and high-speed VLSI implementation of optimal normal basis multiplication over GF(2m). Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Fanshu Jiao, Alex Doboli Causal reasoning mining approach to analog circuit verification. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Uros Nahtigal, Drago Strle Design, simulation, and implementation of an integrated, hybrid photocurrent-to-digital converter in CMOS technology. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Po-Hao Wang, Shang-Jen Tsai, Rizal Tanjung, Tay-Jyi Lin, Jinn-Shyan Wang, Tien-Fu Chen Cross-matching caches: Dynamic timing calibration and bit-level timing-failure mask caches to reduce timing discrepancies with low voltage processors. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Filipe Guimarães Russo Ramos, Tales Cleber Pimenta, Luis Henrique de Carvalho Ferreira A mixed-signal pulse width modulator for portable SMPS applications. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Hojat Ghonoodi, Hossein Miar Naimi, Mohammad Gholami Analysis of frequency and amplitude in CMOS differential ring oscillators. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1T. Nandha Kumar, Haider A. F. Almurib, Fabrizio Lombardi Design of a memristor-based look-up table (LUT) for low-energy operation of FPGAs. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Atefeh Salimi, Rasoul Dehghani, Abdolreza Nabavi A digital predistortion assisted hybrid supply modulator for envelope tracking power amplifiers. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Milad Bahadori, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram A comparative study on performance and reliability of 32-bit binary adders. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Alessandro Cilardo, Edoardo Fusella Design automation for application-specific on-chip interconnects: A survey. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1H. C. Bandala-Hernandez, José Miguel Rocha-Pérez, Alejandro Díaz-Sánchez, Javier Lemus-López, Héctor Vázquez-Leal, Alejandra Díaz-Armendariz, Jaime Ramírez-Angulo Weighted median filters: An analog implementation. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Muhammad E. S. Elrabaa, Amran Al-Aghbari, Mohammed Alasli, Aiman El-Maleh, Abdelhafid Bouhraoua, Mohammad R. Alshayeb A low-cost platform for the prototyping and characterization of digital circuit IPs. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Tiago Reimann, Cliff C. N. Sze, Ricardo Reis 0001 Challenges of cell selection algorithms in industrial high performance microprocessor designs. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Arezoo Kamran, Zainalabedin Navabi Stochastic testing of processing cores in a many-core architecture. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Atiyeh Karimlou, Roya Jafarnejad, Jafar Sobhi An Inductor-less Sub-mW Low Noise Amplifier for Wireless Sensor Network Applications. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Khawar Sarfraz, Mansun Chan A compact low-power 4-port register file with grounded write bitlines and single-ended read operations. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1José-Cruz Nuñez Pérez, José Ricardo Cárdenas-Valdez, Katherine Montoya-Villegas, J. Apolinar Reynoso-Hernández, José Raúl Loo-Yau, Christian Gontrand, Esteban Tlelo-Cuautle FPGA-based test bed for measurement of AM/AM and AM/PM distortion and modeling memory effects in RF PAs. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Marzieh Ranjbar Pirbasti, Mahdi Fazeli, Ahmad Patooghy Phase Change Memory lifetime enhancement via online data swapping. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Geunho Cho, Fabrizio Lombardi Design and process variation analysis of CNTFET-based ternary memory cells. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Álvaro Gómez-Pau, Luz Balado, Joan Figueras Indirect test of M-S circuits using multiple specification band guarding. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Héctor Pettenghi, Ricardo Chaves, Roberto de Matos, Leonel Sousa Method for designing two levels RNS reverse converters for large dynamic ranges. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ricardo Martins 0003, Ricardo Povoa, Nuno Lourenço 0003, Nuno Horta Current-flow and current-density-aware multi-objective optimization of analog IC placement. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Mahshid Nasserian, Mohammad Kafi Kangi, Mohammad Maymandi-Nejad, Farshad Moradi A low-power fast tag comparator by modifying charging scheme of wide fan-in dynamic OR gates. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Robert Wille, Eleonora Schönborn, Mathias Soeken, Rolf Drechsler SyReC: A hardware description language for the specification and synthesis of reversible circuits. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1M. Mohamed Asan Basiri, Sk. Noor Mahammad Multi-mode parallel and folded VLSI architectures for 1D-fast Fourier transform. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Tsung-Han Tsai 0001, Pei-Yun Tsai, Meng-Yuan Huang, Li-Yang Huang WHDVI: A wireless high definition video interface technique for digital home. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Mohammad Shokouhifar, Ali Jalali Two-stage fuzzy inference system for symbolic simplification of analog circuits. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Yishai Statter, Tom Chen 0001 Γ (Gamma): A SaaS-enabled fast and accurate analog design System. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Qicheng Huang, Xiao Li 0002, Chenlei Fang, Fan Yang 0001, Yangfeng Su, Xuan Zeng 0001 An aggregating based model order reduction method for power grids. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Hoda Mahdiani, Saeed Safari, Mostafa E. Salehi Fast and accurate FPGA-based framework for processor architecture vulnerability analysis. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Alireza Saberkari, Shima Kazemi, Vahideh Shirmohammadli, Mustapha C. E. Yagoub gm-boosted flat gain UWB low noise amplifier with active inductor-based input matching network. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Aysa Fakheri Tabrizi, Laleh Behjat, William Swartz, Logan M. Rakai A fast force-directed simulated annealing for 3D IC partitioning. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Simone Orcioni, Marco Giammarini, Cristiano Scavongelli, Giovanni B. Vece, Massimo Conti Energy estimation in SystemC with Powersim. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ivan Bastos, Luís Bica Oliveira, João Goes, João Pedro Oliveira 0003, Manuel Medeiros Silva Noise canceling LNA with gain enhancement by using double feedback. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Xiaokun Yang, Nansong Wu, Jean H. Andrian A novel bus transfer mode (AS transfer) and a performance evaluation methodology. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Basant Kumar Mohanty, Pramod Kumar Meher, Subodh Kumar Singhal, M. N. S. Swamy A high-performance VLSI architecture for reconfigurable FIR using distributed arithmetic. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Mahdi Mosaffa, Siamak Mohammadi, Saeed Safari Statistical analysis of asynchronous pipelines in presence of process variation using formal models. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Aroutchelvame Mayilavelane, Brian Berscheid A Fast FIR filtering technique for multirate filters. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Bo Jiang, Tian Xia ADPLL design parameters determinations through noise modeling. Search on Bibsonomy Integr. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Hooman Farkhani, Ali Peiravi, Farshad Moradi A new write assist technique for SRAM design in 65 nm CMOS technology. Search on Bibsonomy Integr. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Elias Kougianos, Saraju P. Mohanty A nature-inspired firefly algorithm based approach for nanoscale leakage optimal RTL structure. Search on Bibsonomy Integr. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ying Zhang 0016, Sui Chen, Lu Peng 0001, Shaoming Chen NBTI alleviation on FinFET-made GPUs by utilizing device heterogeneity. Search on Bibsonomy Integr. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1José Ricardo Cárdenas-Valdez, José-Cruz Nuñez Pérez, José Alejandro Galaviz-Aguilar, Andrés Calvillo-Téllez, Christian Gontrand, J. Apolinar Reynoso-Hernández, Esteban Tlelo-Cuautle Modeling memory effects in RF power amplifiers applied to a digital pre-distortion algorithm and emulated on a DSP-FPGA board. Search on Bibsonomy Integr. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Kan Xu, Eby G. Friedman Scaling trends of power noise in 3-D ICs. Search on Bibsonomy Integr. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Masoud Daneshtalab, Nader Bagherzadeh, Hamid Sarbazi-Azad On-chip parallel and network-based systems. Search on Bibsonomy Integr. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Xiaohang Wang 0001, Baoxin Zhao, Terrence S. T. Mak, Mei Yang, Yingtao Jiang, Masoud Daneshtalab An efficient runtime power allocation scheme for many-core systems inspired from auction theory. Search on Bibsonomy Integr. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Songwei Pei, Huawei Li 0001, Song Jin, Jun Liu, Xiaowei Li 0001 An on-chip frequency programmable test clock generation and application method for small delay defect detection. Search on Bibsonomy Integr. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Guillaume Hubert, Laurent Artola, D. Regis Impact of scaling on the soft error sensitivity of bulk, FDSOI and FinFET technologies due to atmospheric radiation. Search on Bibsonomy Integr. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Mohammad Mirzaei, Mahdi Mosaffa, Siamak Mohammadi Variation-aware approaches with power improvement in digital circuits. Search on Bibsonomy Integr. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Mario R. Casu, Paolo Mantovani A synchronous latency-insensitive RISC for better than worst-case design. Search on Bibsonomy Integr. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jin-Tai Yan Assignment of inter-die signals in a simplified wiring model for die-stacking SiP designs. Search on Bibsonomy Integr. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Pooria M. Yaghini, Ashkan Eghbal, Nader Bagherzadeh On the design of hybrid routing mechanism for mesh-based network-on-chip. Search on Bibsonomy Integr. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Abderrahim Chariete, Mohamed Bakhouya, Jaafar Gaber, Maxime Wack A design space exploration methodology for customizing on-chip communication architectures: Towards fractal NoCs. Search on Bibsonomy Integr. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Inna Vaisband, Eby G. Friedman Energy efficient adaptive clustering of on-chip power delivery systems. Search on Bibsonomy Integr. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Prakash Harikumar, J. Jacob Wikner A 10-bit 50 MS/s SAR ADC in 65 nm CMOS with on-chip reference voltage buffer. Search on Bibsonomy Integr. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Anupama R. Subramaniam, Janet Roveda, Yu Cao 0001 Finite-point method for efficient timing characterization of sequential elements. Search on Bibsonomy Integr. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
Displaying result #901 - #1000 of 1972 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license