The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for RTL with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1960-1989 (15) 1990-1992 (21) 1993-1994 (18) 1995 (20) 1996 (26) 1997 (28) 1998 (38) 1999 (47) 2000 (63) 2001 (57) 2002 (61) 2003 (69) 2004 (93) 2005 (94) 2006 (108) 2007 (135) 2008 (99) 2009 (65) 2010 (30) 2011 (24) 2012 (36) 2013 (28) 2014 (30) 2015 (38) 2016 (29) 2017 (26) 2018 (34) 2019 (40) 2020 (40) 2021 (34) 2022 (38) 2023 (67) 2024 (14)
Publication types (Num. hits)
article(308) book(1) data(2) incollection(3) inproceedings(1246) phdthesis(5)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1091 occurrences of 565 keywords

Results
Found 1565 publication records. Showing 1565 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
15Jayanand Asok Kumar, Shobha Vasudevan Variation-Conscious Formal Timing Verification in RTL. Search on Bibsonomy VLSI Design The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Shibaji Banerjee, Jimson Mathew, Dhiraj K. Pradhan, Saraju P. Mohanty, Maciej J. Ciesielski Variation-Aware TED-Based Approach for Nano-CMOS RTL Leakage Optimization. Search on Bibsonomy VLSI Design The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Niket Kumar Choudhary, Salil V. Wadhavkar, Tanmay A. Shah, Hiran Mayukh, Jayneel Gandhi, Brandon H. Dwiel, Sandeep Navada, Hashem Hashemi Najaf-abadi, Eric Rotenberg FabScalar: composing synthesizable RTL designs of arbitrary cores within a canonical superscalar template. Search on Bibsonomy ISCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Hiroshi Iwata, Satoshi Ohtake, Hideo Fujiwara A Method of Path Mapping from RTL to Gate Level and Its Application to False Path Identification. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Elif Alpaslan, Yu Huang 0005, Xijiang Lin, Wu-Tung Cheng, Jennifer Dworak On Reducing Scan Shift Activity at RTL. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Hongxia Fang, Krishnendu Chakrabarty, Hideo Fujiwara RTL DFT Techniques to Enhance Defect Coverage for Functional Test Sequences. Search on Bibsonomy J. Electron. Test. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Satoshi Ohtake, Hiroshi Iwata, Hideo Fujiwara A synthesis method to propagate false path information from RTL to gate level. Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Hendra Setiawan, Hiroshi Ochi An optimum RTL design of time domain auto-correlation architecture for WiMAX OFDMA and 3GPP LTE cooperative system. Search on Bibsonomy PIMRC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Bryan A. Brady, Randal E. Bryant, Sanjit A. Seshia, John W. O'Leary ATLAS: Automatic Term-level abstraction of RTL designs. Search on Bibsonomy MEMOCODE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Nicola Bombieri, Franco Fummi, Valerio Guarnieri Model checking on TLM-2.0 IPs through automatic TLM-to-RTL synthesis. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Volodymyr Obrizan A method for automatic generation of an RTL-interface from a C++ description. Search on Bibsonomy EWDTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Nadereh Hatami, Marco Indaco, Paolo Prinetto, Gabriele Tiotto Communication interface synthesis from TLM 2.0 to RTL. Search on Bibsonomy EWDTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Hong-Zu Chou, Haiqian Yu, Kai-Hui Chang, Dylan Dobbyn, Sy-Yen Kuo Finding reset nondeterminism in RTL designs - scalable X-analysis methodology and case study. Search on Bibsonomy DATE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Sameer D. Sahasrabuddhe, Sreenivas Subramanian, Kunal P. Ghosh, Kavi Arya, Madhav P. Desai A C-to-RTL Flow as an Energy Efficient Alternative to Embedded Processors in Digital Systems. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15John Sanguinetti, Eugene Zhang The relationship of code coverage metrics on high-level and RTL code. Search on Bibsonomy HLDVT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Nicola Bombieri, Franco Fummi, Valerio Guarnieri Automatic synthesis of OSCI TLM-2.0 models into RTL bus-based IPs. Search on Bibsonomy HLDVT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Martin Schweikert, Hans Eveking Verwendung von UML Sequenzdiagrammen zur Spezifikation und Generierung von RTL Eigenschaftssätzen. Search on Bibsonomy MBMV The full citation details ... 2010 DBLP  BibTeX  RDF
15Hirofumi Kawauchi, Masanori Tsuzuki, Ittetsu Taniguchi, Masahiro Fukui An accurate RTL power estimation considering power library unevenness. Search on Bibsonomy ISCAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Michael Merrett, Yangang Wang, Mark Zwolinski, Koushik Maharatna, Massimo Alioto Design metrics for RTL level estimation of delay variability due to intradie (random) variations. Search on Bibsonomy ISCAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Mainak Banga, Michael S. Hsiao Trusted RTL: Trojan Detection Methodology in Pre-silicon Designs. Search on Bibsonomy HOST The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Abhay Singh, Milan Shetty, Srivaths Ravi 0001, Ravindra Nibandhe Methodology for early and accurate test power estimation at RTL. Search on Bibsonomy ITC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Marie Engelene J. Obien, Satoshi Ohtake, Hideo Fujiwara Constrained ATPG for functional RTL circuits using F-Scan. Search on Bibsonomy ITC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Ho Fai Ko, Nicola Nicolici Automated trace signals selection using the RTL descriptions. Search on Bibsonomy ITC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Hiroshi Iwata, Satoshi Ohtake, Hideo Fujiwara Enabling False Path Identification from RTL for Reducing Design and Test Futileness. Search on Bibsonomy DELTA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF path mapping, false path, functional equivalence, high level testing
15Sven Rosinger, Domenik Helms, Wolfgang Nebel RTL power modeling and estimation of sleep transistor based power gating. Search on Bibsonomy J. Embed. Comput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Loganathan Lingappan, Vijay Gangaram, Niraj K. Jha, Sreejit Chakravarty Fast Enhancement of Validation Test Sets for Improving the Stuck-at Fault Coverage of RTL Circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Masaaki Ohtsuki, Masato Kawai, Masahiro Fukui An Efficient Algorithm for RTL Power Macro-Modeling and Library Building. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Nicola Bombieri, Franco Fummi, Graziano Pravadelli, Sara Vinco Correct-by-construction generation of device drivers based on RTL testbenches. Search on Bibsonomy DATE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Alfred Kölbl, Reily Jacoby, Himanshu Jain, Carl Pixley Solver technology for system-level to RTL equivalence checking. Search on Bibsonomy DATE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15George Economakos, Sotirios Xydis Optimized Reconfigurable RTL Components for Performance Improvements During High-Level Synthesis. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Abel G. Silva-Filho, Sidney M. L. Lima, F. C. L. Cox Low Power RTL Exploration Mechanism Based on the Cache Parameters. Search on Bibsonomy ReConFig The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Exploration Mechanism, NIOSII, FPGA, Embedded Systems, SoC, Low Power Design, Cache Memory
15Lingyi Liu, Shobha Vasudevan STAR: Generating input vectors for design validation by static analysis of RTL. Search on Bibsonomy HLDVT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Hongxia Fang, Krishnendu Chakrabarty, Hideo Fujiwara RTL DFT techniques to enhance defect coverage for functional test sequences. Search on Bibsonomy HLDVT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Saraju P. Mohanty, Bijaya K. Panigrahi ILP based Leakage Optimization during Nano-CMOS RTL Synthesis: A DOXCMOS Versus DTCMOS Perspective. Search on Bibsonomy NaBIC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Yuki Yoshikawa, Satoshi Ohtake, Tomoo Inoue, Hideo Fujiwara A Synthesis Method to Alleviate Over-Testing of Delay Faults Based on RTL Don't Care Path Identification. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Fabio Campi, Ralf König 0001, Michael Dreschmann, M. Neukirchner, Damien Picard, M. Jüttner, Eberhard Schüler, Antonio Deledda, Davide Rossi, Alberto Pasini, Michael Hübner 0001, Jürgen Becker 0001, Roberto Guerrieri RTL-to-layout implementation of an embedded coarse grained architecture for dynamically reconfigurable computing in systems-on-chip. Search on Bibsonomy SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Shobha Vasudevan, Vinod Viswanath, Jacob A. Abraham, Jiajin Tu Sequential equivalence checking between system level and RTL descriptions. Search on Bibsonomy Des. Autom. Embed. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Aric D. Blumer, Cameron D. Patterson Exploiting Process Locality of Reference in RTL Simulation Acceleration. Search on Bibsonomy EURASIP J. Embed. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Wei Huang 0004, Karthik Sankaranarayanan, Kevin Skadron, Robert J. Ribando, Mircea R. Stan Accurate, Pre-RTL Temperature-Aware Design Using a Parameterized, Geometric Thermal Model. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Guilherme Montez Guindani, Cezar Reinbrecht, Thiago Raupp da Rosa, Ney Calazans, Fernando Gehm Moraes NoC Power Estimation at the RTL Abstraction Level. Search on Bibsonomy ISVLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Tom English, Ka Lok Man, Emanuel M. Popovici, Michel P. Schellekens HotSpot: Visualizing dynamic power consumption in RTL designs. Search on Bibsonomy EWDTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Nicola Bombieri, Franco Fummi, Graziano Pravadelli RTL-TLM equivalence checking based on simulation. Search on Bibsonomy EWDTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Mohammad Reza Kakoee, Mohammad Riazati, Siamak Mohammadi Generating RTL Synthesizable Code from Behavioral Testbenches for Hardware-Accelerated Verification. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Thomas Edison Yu, Tomokazu Yoneda, Satoshi Ohtake, Hideo Fujiwara Identifying Non-Robust Untestable RTL Paths in Circuits with Multi-cycle Paths. Search on Bibsonomy ATS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Jeongwoo Park, Bongchun Lee, Kyu-sam Lim, Jeong Hun Kim, Suki Kim, Kwang-Hyun Baek Co-simulation of SystemC TLM with RTL HDL for surveillance camera system verification. Search on Bibsonomy ICECS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Jinhyun Cho, Soonwoo Choi, Soo-Ik Chae RTL Generation of Channel Architecture Templates for a Template-based SoC Design Flow. Search on Bibsonomy FDL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Mohammad Reza Kakoee, Mohammad Riazati, Siamak Mohammadi Enhancing the Testability of RTL Designs Using Efficiently Synthesized Assertions. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Assertion Synthesis, Assertion Unification, Online Testing
15Saeed Mirzaeian, Feijun (Frank) Zheng, Kwang-Ting (Tim) Cheng RTL Error Diagnosis Using a Word-Level SAT-Solver. Search on Bibsonomy ITC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Giuseppe Falconeri, Walid Naifer, Nizar Romdhane Common Reusable Verification Environment for BCA and RTL Models Search on Bibsonomy CoRR The full citation details ... 2007 DBLP  BibTeX  RDF
15Jarrod A. Roy, David A. Papa, Igor L. Markov Capo: Congestion-Driven Placement for Standard-cell and RTL Netlists with Incremental Capability. Search on Bibsonomy Modern Circuit Placement The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Yang Guo 0003, WanXia Qu, Tun Li, Sikun Li Coverage Driven Test Generation Framework for RTL Functional Verification. Search on Bibsonomy CAD/Graphics The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Sven Rosinger, Domenik Helms, Wolfgang Nebel RTL Power Modeling and Estimation of Sleep Transistor Based Power Gating. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Daniel Kroening, Natasha Sharygina Interactive presentation: Image computation and predicate refinement for RTL verilog using word level proofs. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Alan Naumann Keynote address: Was Darwin wrong? Has design evolution stopped at the RTL level... or will software and custom processors (or system-level design) extend Moore's law? Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Eric Cheung, Xi Chen 0024, Fur-Shing Tsai, Yu-Chin Hsu, Harry Hsieh Bridging RTL and gate: correlating different levels of abstraction for design debugging. Search on Bibsonomy HLDVT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Kai-Hui Chang, Ilya Wagner, Valeria Bertacco, Igor L. Markov Automatic error diagnosis and correction for RTL designs. Search on Bibsonomy HLDVT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Mingsong Chen, Prabhat Mishra 0001, Dhrubajyoti Kalita Towards RTL test generation from SystemC TLM specifications. Search on Bibsonomy HLDVT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Xiaoqing Yang, Jinian Bian, Shujun Deng, Yanni Zhao EHSAT Modeling from Algorithm Description for RTL Model Checking. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Yu Huang 0005, Nilanjan Mukherjee 0001, Wu-Tung Cheng, Greg Aldrich A RTL Testability Analyzer Based on Logical Virtual Prototyping. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Yuki Yoshikawa, Satoshi Ohtake, Hideo Fujiwara False Path Identification using RTL Information and Its Application to Over-testing Reduction for Delay Faults. Search on Bibsonomy ATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Rainer Dorsch, Jürgen Ruf Transaction Modeling and RTL Simulation Analysis. Search on Bibsonomy MBMV The full citation details ... 2007 DBLP  BibTeX  RDF
15Xianyang Jiang, Xiaomin Li, Yue Tian, Kai Wang NICFlex: A Functional Verification Accelerator for An RTL NIC Design. Search on Bibsonomy FPT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Ping-Ying Wang, Meng-Ta Yang, Shang-Ping Chen, Meng-Hsueh Lin, Jing-Bing Yang RTL-based Clock Recovery Architecture with All-Digital Duty-Cycle Correction. Search on Bibsonomy ISSCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Jian Kang, Sharad C. Seth, Vijay Gangaram Efficient RTL Coverage Metric for Functional Test Selection. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Atsushi Kasuya, Tesh Tesfaye Verification Methodologies in a TLM-to-RTL Design Flow. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Chia-Chun Tsai, Hann-Cheng Huang, Trong-Yen Lee, Wen-Ta Lee, Jan-Ou Wu Using Stack Reconstruction on RTL Orthogonal Scan Chain Design. Search on Bibsonomy J. Inf. Sci. Eng. The full citation details ... 2006 DBLP  BibTeX  RDF
15Tomokazu Yoneda, Hideo Fujiwara Design for consecutive transparency method of RTL circuits. Search on Bibsonomy Syst. Comput. Jpn. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15José M. Fernandes, Marcelino B. Santos, Arlindo L. Oliveira, João Paulo Teixeira 0001 Probabilistic Testability Analysis and DFT Methods at RTL. Search on Bibsonomy DDECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Chao-Lieh Chen, Yao-De Huang Distributed Fuzzy Controller for the IEEE 802.11e QoS and Its RTL Fast Prototyping. Search on Bibsonomy FUZZ-IEEE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Youngsoo Kim, William W. Edmonson H.264 Video Decoder Design: Beyond RTL Design Implementation. Search on Bibsonomy SiPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15José M. Fernandes, Marcelino B. Santos, Arlindo L. Oliveira, João Paulo Cacho Teixeira DFT and Probabilistic Testability Analysis at RTL. Search on Bibsonomy HLDVT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Nitin Yogi, Vishwani D. Agrawal Spectral RTL Test Generation for Gate-Level Stuck-at Faults. Search on Bibsonomy ATS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Alan Hu High-Level vs. RTL Combinational Equivalence: An Introduction. Search on Bibsonomy ICCD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Ho Fai Ko, Nicola Nicolici RTL Scan Design for Skewed-Load At-speed Test under Power Constraints. Search on Bibsonomy ICCD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Shiv Tasker, Rishiyur S. Nikhil Beyond RTL: Advanced Digital System Design. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Karina R. G. da Silva, Elmar U. K. Melcher, Isaac Maia, Henrique do N. Cunha A methodology aimed at better integration of functional verification and RTL design. Search on Bibsonomy Des. Autom. Embed. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Marco Bozzano, Roberto Bruttomesso, Alessandro Cimatti, Anders Franzén, Ziyad Hanna, Zurab Khasidashvili, Amit Palti, Roberto Sebastiani Encoding RTL Constructs for MathSAT: a Preliminary Report. Search on Bibsonomy PDPAR@CAV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Zhiqiang You, Ken-ichi Yamaguchi, Michiko Inoue, Jacob Savir, Hideo Fujiwara Power-Constrained Test Synthesis and Scheduling Algorithms for Non-Scan BIST-able RTL Data Paths. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Nacer-Eddine Zergainoh, Ludovic Tambour, Henri Michel, Ahmed Amine Jerraya Méthodes de correction de retard dans les modèles RTL des systèmes monopuces DSP obtenus par assemblage de composants IP : fondement théorique et implémentation. Search on Bibsonomy Tech. Sci. Informatiques The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Ridha Djemal, Ayoub Dhouib, Samuel Dellacherie, Rached Tourki A novel formal verification approach for RTL hardware IP cores. Search on Bibsonomy Comput. Stand. Interfaces The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Nikolaos D. Liveris, Hai Zhou 0001, Prithviraj Banerjee An Efficient System-Level to RTL Verification Framework for Computation-Intensive Applications. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Tun Li, Yang Guo 0003, Sikun Li, GongJie Liu Predicate Abstraction of RTL Verilog Descriptions Using Constraint Logic Programming. Search on Bibsonomy ATVA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Tun Li, Yang Guo 0003, Sikun Li, Dan Zhu Applying Constraint Logic Programming to Predicate Abstraction of RTL Verilog Descriptions. Search on Bibsonomy MICAI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Peter Jamieson, Jonathan Rose A Verilog RTL Synthesis Tool for Heterogeneous FPGAs. Search on Bibsonomy FPL The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Felipe Machado, Teresa Riesgo, Yago Torroja Switching activity propagation of VHDL-RTL combinational designs through an automated tool. Search on Bibsonomy ICECS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Alfred Kölbl, Yuan Lu, Anmol Mathur Embedded tutorial: formal equivalence checking between system-level models and RTL. Search on Bibsonomy ICCAD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Ganapathy Parthasarathy, Madhu K. Iyer, Kwang-Ting Cheng, Forrest Brewer RTL SAT simplification by Boolean and interval arithmetic reasoning. Search on Bibsonomy ICCAD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Tomas Pecenka, Zdenek Kotásek, Lukás Sekanina, Josef Strnadel Automatic Discovery of RTL Benchmark Circuits with Predefined Testability Properties. Search on Bibsonomy Evolvable Hardware The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Jiong Luo, Lin Zhong 0001, Yunsi Fei, Niraj K. Jha Register binding-based RTL power management for control-flow intensive designs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Dwight D. Hill, Andrew B. Kahng Guest Editors' Introduction: RTL to GDSII - From Foilware to Standard Practice. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Rishiyur S. Nikhil Bluespec System Verilog: efficient, correct RTL from high level specifications. Search on Bibsonomy MEMOCODE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Andrea Del Re, Alberto Nannarelli, Marco Re A Tool for Automatic Generation of RTL-Level VHDL Description of RNS FIR Filters. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Prasenjit Basu, Sayantan Das 0001, Pallab Dasgupta, P. P. Chakrabarti 0001, Chunduri Rama Mohan, Limor Fix Formal Verification Coverage: Are the RTL-Properties Covering the Design's Architectural Intent? Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Oliver Schliebusch, Anupam Chattopadhyay, Rainer Leupers, Gerd Ascheid, Heinrich Meyr, Mario Steinert, Gunnar Braun, Achim Nohl RTL Processor Synthesis for Architecture Exploration and Implementation. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Daniel Mika, Josef Strnadel, Zdenek Kotásek The Identification of registers in RTL Structures for the Test Application. Search on Bibsonomy ISoLA (Preliminary proceedings) The full citation details ... 2004 DBLP  BibTeX  RDF
15William N. N. Hung, Naren Narasimhan Reference model based RTL verification: an integrated approach. Search on Bibsonomy HLDVT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Masahiro Fujita On equivalence checking between behavioral and RTL descriptions. Search on Bibsonomy HLDVT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Ho Fai Ko, Nicola Nicolici Functional Scan Chain Design at RTL for Skewed-Load Delay Fault Testing. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF High-level DFT, Delay-fault testing
15Yoichi Yuyama, Masao Aramoto, Kazutoshi Kobayashi, Hidetoshi Onodera RTL/ISS co-modeling methodology for embedded processor using SystemC. Search on Bibsonomy ISCAS (5) The full citation details ... 2004 DBLP  BibTeX  RDF
15Xin Wang, Tapani Ahonen, Jari Nurmi A synthesizable RTL design of asynchronous FIFO. Search on Bibsonomy SoC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
Displaying result #901 - #1000 of 1565 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license