The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "SBCCI"( http://dblp.L3S.de/Venues/SBCCI )

URL (DBLP): http://dblp.uni-trier.de/db/conf/sbcci

Publication years (Num. hits)
1998 (54) 1999-2000 (64) 2001 (38) 2002 (62) 2003 (59) 2004 (58) 2005 (51) 2006 (48) 2007 (73) 2008 (51) 2009 (55) 2010 (41) 2011 (43) 2012 (36) 2013 (43) 2014 (44) 2015 (44) 2016 (41) 2017 (37) 2018 (46) 2019 (35) 2020 (41) 2022 (48) 2023 (39)
Publication types (Num. hits)
inproceedings(1126) proceedings(25)
Venues (Conferences, Journals, ...)
SBCCI(1151)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 843 occurrences of 474 keywords

Results
Found 1151 publication records. Showing 1151 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Esther Rodríguez-Villegas, Alberto Yufera, Adoración Rueda A Charge Correction Cell for FGMOS-Based Circuits. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF FGMOS analog circuits, Floating gate charge correction
1Uilian Rafael Feijo Souza, Josué Klafke Sperb, Braulio Adriano de Mello, Flávio Rech Wagner Tangram - Virtual Integration of Heterogeneous IP Components in a Distributed Co-Simulation Environment. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Alessandro Girardi, Fernando da Rocha Paixão Cortes, Eric E. Fabris, Sergio Bampi Analog IC Modules Design Using Trapezoidal Association of MOS Transistors in 0.35µm Technology. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Julio Pérez, Matteo Sonza Reorda, Massimo Violante Accurate Dependability Analysis of CAN-Based Networked Systems. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Marcelo Negreiros, Erik Schüler, Luigi Carro, Altamiro Amadeu Susin Testing RF Signal Paths Using Spectral Analysis and Subsampling. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Faress Tissafi-Drissi, Ian O'Connor, Fabien Mieyeville, Frédéric Gaffiot Design Methodologies for High-Speed CMOS Photoreceiver Front-Ends. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1 Proceedings of the 16th Annual Symposium on Integrated Circuits and Systems Design, SBCCI 2003, Sao Paulo, Brazil, September 8-11, 2003 Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  BibTeX  RDF
1Eduardo A. C. da Costa, Sergio Bampi, José Monteiro 0001 A New Pipelined Array Architecture for Signed Multiplication. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Howard H. Chen, J. Scott Neely, Michael F. Wang, Gricel Co On-Chip Decoupling Capacitor Optimization for Noise and Leakage Reduction. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Geert Janssen A Consumer Report on BDD Packages. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Markus Visarius, Johannes Lessmann, Wolfram Hardt, Frank Kelso, Wolfgang Thronicke An XML Format Based Integration Infrastructure for IP Based Design. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Tang Lei, Shashi Kumar Algorithms and Tools for Network on Chip Based System Design. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Daniel Lima Ferrão, Gustavo Wilke, Ricardo Augusto da Luz Reis, José Luís Almada Güntzel Improving Critical Path Identification in Functional Timing Analysis. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Santanu Dutta Architecture and Implementation of Multi-Processor SoCs for Advanced Set-Top Box and Digital TV Systems. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Janet Meiling Wang, Pinhong Chen, Omar Hafiz A New Continuous Switching Window Computation with Crosstalk Noise. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Diogo Zandonai, Sergio Bampi, Marcel Bergerman ME64 - A Highly Scalable Hardware Parallel Architecture Motion Estimation in FPGA. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Pablo Aguirre, Fernando Silveira Design of a Reusable Rail-to-Rail Operational Amplifier. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Julio Arlindo Pinto Azevedo, Tales Cleber Pimenta Design of a Low Noise Amplifier for CDMA Transceivers at 900MHz in CMOS 0.35 µm. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Jürgen Becker 0001, Michael Hübner 0001, Michael Ullmann Power Estimation and Power Measurement of Xilinx Virtex FPGAs: Trade-Offs and Limitations. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Virtex FPGA, runtime reconfiguration, power consumption
1Patrick Lysaght Future Design Tools for Platform FPGAs. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Mário P. Véstias, Horácio C. Neto DALI: A Methodology for the Co-Design of Dataflow Applications on Hardware/Software Architectures. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Fernando C. Castaldo, João Paulo Cerquinho Cajueiro, Carlos Alberto dos Reis Bias Dependence of Noise Correlation in MAGFETs. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Luca P. Carloni, Alberto L. Sangiovanni-Vincentelli Combining Retiming and Recycling to Optimize the Performance of Synchronous Circuits. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Romanelli Lodron Zuim, Claudionor José Nunes Coelho Jr., Luiz Fernando Etrusco Moreira, Antônio Otávio Fernandes, José Monteiro da Mata, Diógenes Cecilio da Silva Jr. Dynamic Reconfiguration Behavior Using Generic FPGAs and FPIDs. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Maurizio Damiani, Andrei Y. Selchenko Boolean Technology Mapping Based on Logic Decomposition. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Mohamed A. Elgamel, Magdy A. Bayoumi Minimum-Area Shield Insertion for Explicit Inductive Noise Reduction. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Shield insertion, Algorithms, Noise, Inductance, DSM
1Ryuichi Takahashi, Hajime Ohiwa Situated Learning on FPGA for Superscalar Microprocessor Design Education. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Grant Martin SystemC: From Language to Applications, from Tools to Methodologies. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1George Logothetis, Klaus Schneider 0001, C. Metzler Runtime Analysis of Synchronous Programs for Low-Level Real-Time Verification. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Jürgen Becker 0001, Alexander Thomas, Maik Scheer Efficient Processor Instruction Set Extension by Asynchronous Reconfigurable Datapath Integration. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Renato E. B. Poli, Felipe Ribeiro Schneider, Renato P. Ribas, André Inácio Reis Unified Theory to Build Cell-Level Transistor Networks from BDDs. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1João Leonardo Fragoso, Gilles Sicard, Marc Renaudin Automatic Generation of 1-of-M QDI Asynchronous Adders. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Nadia Nedjah, Luiza de Macedo Mourelle FPGA-Based Hardware Architecture for Neural Networks: Binary Radix vs. Stochastic. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1José Carlos S. Palma, Aline Vieira de Mello, Leandro Möller, Fernando Moraes 0001, Ney Calazans Core Communication Interface for FPGAs. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Paulo Sérgio B. do Nascimento, Manoel Eusébio de Lima, Paulo Maciel 0001 CDFG -Petri Net Temporal Partitioning for Switching Context Applications. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Bogdan Nicolescu, Raoul Velazco, Matteo Sonza Reorda, Maurizio Rebaudengo, Massimo Violante A Software Fault Tolerance Method for Safety-Critical Systems: Effectiveness and Drawbacks. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Luciano Volcan Agostini, Ivan Saraiva Silva, Sergio Bampi Pipelined Entropy Coders for JPEG Compression. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Jochen A. G. Jess Parametric Yield Estimation for Deep Sub- Micron VLSI Circuits. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Felipe S. Marques 0001, Vinícius P. Correia, A. Prado, Marcelo Lubaszewski, André Inácio Reis Testability Properties of BDDs. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Eduardo Costa 0001, Sergio Bampi, José Monteiro 0001 A New Architecture for 2's Complement Gray Encoded Array Multiplier. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Marcelo de Oliveira Johann, Glauco Borges Valim dos Santos, Ricardo Augusto da Luz Reis A LEGAL Algorithm Following Global Routing. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Rafael C. Krapf, Júlio C. B. de Mattos, Gustavo Spellmeier, Luigi Carro Signal Processing Applications for Embedded Java Systems. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Jacqueline S. Pereira, Fernando A. P. Barúqui, Antonio Petraglia Analog Decimator IC in Direct-form Polyphase Structure. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Alexandre M. Amory, Fernando Moraes 0001, Leandro A. Oliveira, Ney Calazans, Fabiano Hessel A Heterogeneous and Distributed Co-Simulation Environment. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1César Augusto Missio Marcon, Ney Laert Vilar Calazans, Fernando Gehm Moraes Requirements, Primitives and Models for Systems Specification. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Fernando Silveira, Denis Flandre Operational Amplifier Power Optimization for a Given Total (Slewing plus Linear) Settling Time. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Paulo Augusto Dal Fabbro, Carlos A. dos Reis Filho An Integrated CMOS Instrumentation Amplifier with Improved CMRR. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Ricardo Doldán, Alberto Yúfera, Adoración Rueda A Continuous-Time Incremental Analog to Digital Converter. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Niels Vanspauwen, Edna Barros, Sérgio Cavalcante, Carlos Valderrama On the Importance, Problems and Solutions of Pointer Synthesis. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Rafael C. Krapf, Júlio C. B. de Mattos, Gustavo Spellmeier, Luigi Carro A Study on a Garbage Collector for Embedded Applications. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Henrique Costa de Moura Santos, Ana Isabela Araújo Cunha CMOS OTA Sizing Using ACM Model in a Graphical Approach. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Cesar A. Zeferino, Márcio Eduardo Kreutz, Luigi Carro, Altamiro Amadeu Susin A Study on Communication Issues for Systems-on-Chip. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Gerd Kreiselmaier, Timo Vogt, Norbert Wehn, Friedbert Berens Combined Turbo and Convolutional Decoder Architecture for UMTS Wireless Applications. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Júlio C. B. de Mattos, Márcio Eduardo Kreutz, Luigi Carro Low-Power Control Architecture for Embedded Processors. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Miguel Miranda, C. Ghez, Erik Brockmeyer, Pieter Op de Beeck, Francky Catthoor Data Transfer and Storage Exploration for Real-Time Implementation of a Digital Audio Broadcast Receiver on a Trimedia Processor. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1José Antônio Gomes de Lima, Antonio Carlos Cavalcanti, Solon Ferreira de Lucena APU: Specification and Design of a Multi Algorithm ATM Policing Unit IP. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Ricardo N. B. Lima, Marcio N. Miranda, José V. S. Filho HW/SW Codesign of Handoff Protocol for Wireless ATM Networks Based on Performance Optimization Using Genetic Algorithm. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Valdinei Luís Belini, M. A. Romero Design of Active Inductors Using CMOS Technology. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1João Paulo Cerquinho Cajueiro, Carlos A. dos Reis Filho CMOS Bandgap with Base-Current Thermal Compensation. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Luis Henrique de Carvalho Ferreira, Robson L. Moreno, Tales C. Pimenta, Carlos A. R. Filho An Offset Self-Correction Sample and Hold Circuit for Precise Applications in Low Voltage CMOS. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Jürgen Becker 0001 Configurable Systems-on-Chip (CSoC). Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Luís Cléber C. Marques, Wouter A. Serdijn, Carlos Galup-Montoro, Márcio C. Schneider A Switched-MOSFET Programmable Low-Voltage Filter. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Ronald W. Mehler, Dian Zhou Architectural Synthesis of Finite Impulse Response Digital Filters. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Peter Glösekötter, Christian Pacha, Karl F. Goser, Werner Prost, Samuel O. Kim, Holger van Husen, Thorsten Reimann, Franz-Josef Tegude Asynchronous Circuit Design Based on the RTBT Monostable-Bistable Logic Transition Element (MOBILE). Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Görschwin Fey, Rolf Drechsler Minimizing the Number of Paths in BDDs. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Fathi A. Farag Low-Voltage ADC for Sample to Serial Interface Applications. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Manuel Lois Anido, Alexander Paar, Nader Bagherzadeh A Novel Method for Improving the Operation Autonomy of SIMD Processing Elements. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Renato Fernandes Hentschke, Felipe S. Marques 0001, Fernanda Lima 0001, Luigi Carro, Altamiro Amadeu Susin, Ricardo Reis 0001 Analyzing Area and Performance Penalty of Protecting Different Digital Modules with Hamming Code and Triple Modular Redundancy. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1David Deschans, Jean-Baptiste Bégueret, Yann Deval, Christophe Scarabello, Pascal Fouillat, Guy Montignac, Alain Baudry A 4 Gsamples/S with 2-4 GHz Input Bandwidth SIGE Digitizer for Radio Astronomy Applications. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Joarez B. Monteiro, Antonio Petraglia, Carlos Azeredo Leme Capacitor Charge Control Technique Applied to Digitally Programmable IIR Switched-Capacitor Filter. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Marcos R. Boschetti, Alexandro M. S. Adário, Ivan Saraiva Silva, Sergio Bampi Techniques and Mechanisms for Dynamic Reconfiguration in an Image Processor. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1João Martins, Marius Strum Power Management Exploration for a Block Turbo Decoder. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Gustavo Wilke, José Luís Güntzel, Márcio Bystronski, Ana Cristina Medina Pinto, Ricardo Reis 0001 Finding the Critical Delay of Combinational Blocks by Floating Vector Simulation and Path Tracing. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1André Borin Soares, Marcelo Negreiros, Luigi Carro, Altamiro Amadeu Susin Analysis and Implementation of a Stochastic Multiplier for Electrical Power Measurement. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Sandro Sawicki, Lisane B. de Brisolara, Leandro S. lndrusiak, Ricardo Reis 0001 Collaborative Design Using a Shared Object Spaces Infrastructure. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Michel Renovell A Structural Test Methodology for SRAM-Based FPGAs. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Fulvio Corno, Matteo Sonza Reorda, Giovanni Squillero Reducing Test Application Time through Interleaved Scan. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1 Proceedings of the 15th Annual Symposium on Integrated Circuits and Systems Design, SBCCI 2002, Porto Alegre, Brazil, September 9-14, 2002 Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Carlos P. Coelho, Joel R. Phillips, L. Miguel Silveira On Generating Compact, Passive Models of Frequency-Described Systems. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Vinícius Pazutti Correia, André Inácio Reis Compression and Technology Mapping of Logic Circuits. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Fábio da S. Dutra, Federico Gálvez-Durand, Vladimir Castro Alves System on a Chip for Petroleum Pipeline Inspection. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Anderson Cattelan Zigiotto, Roberto d'Amore A Low-Cost FPGA Implementation of the Advanced Encryption Standard Algorithm. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Nadia Nedjah, Luiza de Macedo Mourelle Two Hardware Implementations for the Montgomery Modular Multiplication: Sequential versus Parallel. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1M. G. C. Flores, Marcelo Negreiros, Luigi Carro, Altamiro Amadeu Susin A Noise Generator for Analog-to-Digital Converter Testing. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Alex Panato, Marcelo Barcelos, Ricardo Reis 0001 An IP of an Advanced Encryption Standard for Altera" Devices. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1João Daniel Togni, Felipe Ribeiro Schneider, Vinícius P. Correia, Renato P. Ribas, André Inácio Reis Automatic Generation of Digital Cell Libraries. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Manvi Agarwal, S. K. Nandy 0001, Jos T. J. van Eijndhoven, S. Balakrishanan Multithreaded Architectural Support for Speculative Trace Scheduling in VLIW Processors. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1João M. S. Alcântara, Antônio C. C. Vieira, Federico Gálvez-Durand, Vladimir Castro Alves A Methodology for Dynamic Power Consumption Estimation Using VHDL Descriptions. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Raúl Acosta, Fernando Silveira, Pablo Aguirre Experiences on Analog Circuit Technology Migration and Reuse. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Marcelo Negreiros, Luigi Carro, Altamiro Amadeu Susin A Statistical Sampler for Increasing Analog Circuits Observability. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Ahmed Fakhfakh, Hervé Levi, N. Milet-Lewis, Yves Danto Behavioral Modeling of Analogue and Mixed Integrated Systems with VHDL-AMS for RF Applications. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Cristiano C. de Araújo, Edna Barros Interface Generation for Concurrent Processes During Hardware/Software Co-synthesis. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Alberto García Ortiz, Tudor Murgan, Leandro Soares Indrusiak, Manfred Glesner Power Consumption in Point-to-Point Interconnect Architectures. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Jan M. Rabaey Ultra Low-Energy Transceivers for Wireless Sensor Networks. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Wagner Luiz Alves de Oliveira, Norian Marranghello, Furio Damiani Exception Handling with Petri Net for Digital Systems. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
1Fred Cruz Filho, Paulo Maciel 0001, Edna Barros A Petri Net Based Approach for Hardware/Software Partitioning. Search on Bibsonomy SBCCI The full citation details ... 2001 DBLP  BibTeX  RDF
1Carlos Dualibe, Paul G. A. Jespers, Michel Verleysen On Designing Mixed-Signal Fuzzy Logic Controllers as Embedded Subsystems in Standard CMOS Technologies. Search on Bibsonomy SBCCI The full citation details ... 2001 DBLP  BibTeX  RDF
1Bruno Santos Pimentel, João Hilário de Ávila Valgas Filho, Rodrigo Lacerda Campos, Antônio Otávio Fernandes, Claudionor José Nunes Coelho Jr. A FPGA Implementation of a DCT-Based Digital Electrocardiographic Signal Compression Device. Search on Bibsonomy SBCCI The full citation details ... 2001 DBLP  BibTeX  RDF
1Luciano Volcan Agostini, Sergio Bampi, Ivan Saraiva Silva Pipelined Fast 2-D DCT Architecture for JPEG Image Compression. Search on Bibsonomy SBCCI The full citation details ... 2001 DBLP  BibTeX  RDF
1Ana Luiza de Almeida Pereira Zuquim, Claudionor José Nunes Coelho Jr., Antônio Otávio Fernandes, Marcos Pêgo de Oliveira, Andréa Iabrudi Tavares An Embedded Converter from RS232 to Universal Serial Bus. Search on Bibsonomy SBCCI The full citation details ... 2001 DBLP  BibTeX  RDF
Displaying result #901 - #1000 of 1151 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license