The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for cycles with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1959-1969 (15) 1970-1974 (15) 1975-1976 (19) 1977-1978 (20) 1979 (16) 1980-1981 (35) 1982 (32) 1983 (28) 1984-1985 (40) 1986 (27) 1987 (40) 1988 (52) 1989 (57) 1990 (61) 1991 (76) 1992 (76) 1993 (81) 1994 (91) 1995 (123) 1996 (125) 1997 (153) 1998 (130) 1999 (187) 2000 (181) 2001 (256) 2002 (263) 2003 (342) 2004 (417) 2005 (523) 2006 (534) 2007 (570) 2008 (591) 2009 (500) 2010 (240) 2011 (228) 2012 (233) 2013 (260) 2014 (236) 2015 (237) 2016 (277) 2017 (290) 2018 (320) 2019 (289) 2020 (301) 2021 (279) 2022 (315) 2023 (325) 2024 (78)
Publication types (Num. hits)
article(5583) book(3) data(1) incollection(34) inproceedings(3933) phdthesis(28) proceedings(2)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 4246 occurrences of 2432 keywords

Results
Found 9584 publication records. Showing 9584 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
10Changfu Wu, Jie Wu 0001 On Self-Similarity and Hamiltonicity of Dual-Cubes. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF dual-cubes, recurrent structure, Hamiltonian property, hypercubes, VLSI layout, wafer scale integration
10Fredrik Warg, Per Stenström Improving Speculative Thread-Level Parallelism Through Module Run-Length Prediction. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF module-level parallelism, module run-length prediction, performance evaluation, Multiprocessors, thread-level speculation
10Girish Varatkar, Radu Marculescu Communication-Aware Task Scheduling and Voltage Selection for Total Systems Energy Minimization. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF dynamic voltage scaling, low-power scheduling
10Tony F. Chan, Jason Cong, Tim Kong, Joseph R. Shinnerl, Kenton Sze An Enhanced Multilevel Algorithm for Circuit Placement. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Kiran Seth, Aravindh Anantaraman, Frank Mueller 0001, Eric Rotenberg FAST: Frequency-Aware Static Timing Analysis. Search on Bibsonomy RTSS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Adrián Cristal, Daniel Ortega, Josep Llosa, Mateo Valero Kilo-instruction Processors. Search on Bibsonomy ISHPC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Rebeca P. Díaz Redondo, José Juan Pazos-Arias, Ana Fernández Vilas Reuse of Formal Verification Efforts of Incomplete Models at the Requirements Specification Stage. Search on Bibsonomy Component-Based Software Quality The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Yanbin Liu, Aloysius K. Mok An Integrated Approach for Applying Dynamic Voltage Scaling to Hard Real-Time Systems. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Turan Demirci, Ilhan Hatirnaz, Yusuf Leblebici Full-custom CMOS realization of a high-performance binary sorting engine with linear area-time complexity. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Soha Hassoun Optimal use of 2-phase transparent latches in buffered maze routing. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Ciaran McIvor, Máire McLoone, John V. McCanny A high-speed, low latency RSA decryption silicon core. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Sei Hyung Jang A new synchronous mirror delay with an auto-skew-generation circuit. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Mark A. Erle, Michael J. Schulte Decimal Multiplication Via Carry-Save Addition. Search on Bibsonomy ASAP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Roberta Cambio, David C. Hendry Low Power Digital Neuron for SOM Implementations. Search on Bibsonomy ICANN The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10André Seznec, Antony Fraboulet Effective ahead Pipelining of Instruction Block Address Generation. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Mor Harchol-Balter, Cuihong Li, Takayuki Osogami, Alan Scheller-Wolf, Mark S. Squillante Cycle stealing under immediate dispatch task assignment. Search on Bibsonomy SPAA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF distributed system, supercomputing, task assignment, load sharing, matrix analytic methods, starvation, cycle stealing, unfairness, server farm
10Susan Landau 0001, Neil Immerman Embedding Linkages on an Integer Lattice. Search on Bibsonomy Algorithmica The full citation details ... 2002 DBLP  DOI  BibTeX  RDF NP-complete, Embedding, Lattice, Linkage
10Peter Adams 0001, Elizabeth J. Billington, Darryn E. Bryant, Saad I. El-Zanati On the Hamilton-Waterloo Problem. Search on Bibsonomy Graphs Comb. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Julia Khodor, David K. Gifford Programmed Mutagenesis Is Universal. Search on Bibsonomy Theory Comput. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Steve Dropsho, Volkan Kursun, David H. Albonesi, Sandhya Dwarkadas, Eby G. Friedman Managing static leakage energy in microprocessor functional units. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Gregory A. Muthler, David Crowe, Sanjay J. Patel, Steven Lumetta Instruction fetch deferral using static slack. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Paul C. Leyland, Arjen K. Lenstra, Bruce Dodson, Alec Muffett, Samuel S. Wagstaff Jr. MPQS with Three Large Primes. Search on Bibsonomy ANTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Jörg Flum, Martin Grohe The Parameterized Complexity of Counting Problems. Search on Bibsonomy FOCS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Roland T. Mittermeir, Markus Clermont Finding High-Level Structures in Spreadsheet Programs. Search on Bibsonomy WCRE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Massimo Baleani, Massimo Conti, Alberto Ferrari, Valerio Frascolla, Alberto L. Sangiovanni-Vincentelli An Enhanced POLIS Framework for Fast Exploration and Implementation of I/O Subsystems on CSoC Platforms. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Jan Vorácek, Nina Kontro-Vesivalo International education in information technology. Search on Bibsonomy ITiCSE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Paul H. J. Kelly, Susanna Pelagatti, M. Rossiter Instant-Access Cycle-Stealing for Parallel Applications Requiring Interactive Response. Search on Bibsonomy Euro-Par The full citation details ... 2002 DBLP  DOI  BibTeX  RDF parallel computing, performance prediction, cycle stealing
10Jarkko Kari Infinite Snake Tiling Problems. Search on Bibsonomy Developments in Language Theory The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Robert W. Carey, Paul J. Van Arsdall, John P. Woodruff The national ignition facility: early operational experience with a large Ada control system. Search on Bibsonomy SIGAda The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Ada
10Mihai Sima, Sorin Cotofana, Stamatis Vassiliadis, Jos T. J. van Eijndhoven, Kees A. Vissers MPEG-Compliant Entropy Decoding on FPGA-Augmented TriMedia/CPU64. Search on Bibsonomy FCCM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Harald Vogt Efficient Object Identification with Passive RFID Tags. Search on Bibsonomy Pervasive The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Yi Zhang, Steve Haga, Rajeev Barua Execution history guided instruction prefetching. Search on Bibsonomy ICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF performance, prefetching, hardware, instruction cache
10María C. Molina, José M. Mendías, Román Hermida Bit-level scheduling of heterogeneous behavioural specifications. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Horng-Ren Tsai Parallel Algorithms for the Medial Axis Transform on Linear Arrays with a Reconfigurable Pipelined Bus System. Search on Bibsonomy ICPADS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF linear array with a reconfigurable pipelined bus system, computer vision, parallel algorithms, image processing, image compression, Medial axis transform
10Wen-Tzeng Huang, Woei-kae Chen, Chin-Hsing Chen On the Fault-Tolerant Pancyclicity of Crossed Cubes. Search on Bibsonomy ICPADS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Emilio Di Giacomo, Giuseppe Liotta, Maurizio Patrignani Orthogonal 3D Shapes of Theta Graphs. Search on Bibsonomy GD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Hai Jiang 0003, Vipin Chaudhary MigThread: Thread Migration in DSM Systems. Search on Bibsonomy ICPP Workshops The full citation details ... 2002 DBLP  DOI  BibTeX  RDF adaptive parallel computing, compile-time code transformations, thread scheduling, thread migration, Software Distributed Shared Memory
10G. Mulliken, Farhan Adil, Gert Cauwenberghs, Roman Genov Delta-sigma algorithmic analog-to-digital conversion. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Ilya Levin, Vladimir Sinelnikov, Mark G. Karpovsky, Sergey Ostanin Sequential Circuits Applicable for Detecting Different Types of Faults. Search on Bibsonomy IOLTW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Gregory C. Sharp, Sang Wook Lee, David K. Wehe Multiview Registration of 3D Scenes by Minimizing Error between Coordinate Frames. Search on Bibsonomy ECCV (2) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Yasuharu Nishi Quality-Adaptive Testing: A Strategy for Testing with Focusing on Where Bugs Have Been Detected. Search on Bibsonomy ECSQ The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Robert Thomson 0003, Tughrul Arslan Evolvable Hardware for the Generation of Sequential Filter Circuits. Search on Bibsonomy Evolvable Hardware The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Samar Sharma, Rina Panigrahy Sorting and Searching Using Ternary CAMs. Search on Bibsonomy Hot Interconnects The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Ilhyun Kim, Mikko H. Lipasti Implementing Optimizations at Decode Time. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF speculative scheduling, speculative decode, silent store, reference combining, confidence prediction, runtime optimizations
10Abdel Ejnioui, N. Ranganathan A partitioning algorithm for technoiogy-mapped designs on single-chip emulation systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Krishna M. Kavi, Roberto Giorgi, Joseph Arul Scheduled Dataflow: Execution Paradigm, Architecture, and Performance Evaluation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF superscalar, Thread Level Parallelism, Multithreaded architectures, decoupled architectures, dataflow architectures
10Edwin A. Hakkennes, Stamatis Vassiliadis Multimedia Execution Hardware Accelerator. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF multimedia architectures, multimedia instruction set, multimedia processors, compound instructions, multimedia, hardware accelerators, subword parallelism, SIMD processors, vector architectures
10Kumiko Nomura, Toshinori Yamada, Shuichi Ueno On Adaptive Fault Diagnosis for Multiprocessor Systems. Search on Bibsonomy ISAAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Giulia Galbiati On Min-Max Cycle Bases. Search on Bibsonomy ISAAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Dong Xiang, Yi Xu A Multiple Phase Partial Scan Design Method. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10David W. Matula Improved Table Lookup Algorithms for Postscaled Division. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Julia Khodor, David K. Gifford Programmed Mutagenesis Is a Universal Model of Computation. Search on Bibsonomy DNA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Malolan Chetlur, Philip A. Wilsey Causality representation and cancellation mechanism in time warp simulations. Search on Bibsonomy Workshop on Parallel and Distributed Simulation The full citation details ... 2001 DBLP  DOI  BibTeX  RDF time warp, vector clocks, virtual time, logical time
10Nicolas Peltier A General Method for Using Schematizations in Automated Deduction. Search on Bibsonomy IJCAR The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Can C. Özturan Computational Issues in E-commerce Trading Exchanges. Search on Bibsonomy IWCC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Alexander Boukhanovsky, Valentin A. Rozhkov, Alexander B. Degtyarev Peculiarities of Computer Simulation and Statistical Representation of Time-Spatial Metocean Fields. Search on Bibsonomy International Conference on Computational Science (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Keng Hoong Wee, Toshiyuki Nozawa, Takemi Yonezawa, Yuichiro Yamashita, Tadashi Shibata, Tadahiro Ohmi High-precision analog EEPROM with real-time write monitoring. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Sree Ganesan, Ranga Vemuri Library Binding for High-Level Synthesis of Analog Systems. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Ting-Yi Sung, Jeng-Jung Wang Changing the Diameter of Graph Products. Search on Bibsonomy COCOON The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Johann Großschädl A Bit-Serial Unified Multiplier Architecture for Finite Fields GF(p) and GF(2m). Search on Bibsonomy CHES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF iterative modulo multiplication, polynomial basis representation, bit-serial multiplier architecture, smart card crypto-coprocessor, Elliptic curve cryptography, finite field arithmetic
10Claudio Delrieux, Gustavo Ramoscelli, Mirta Padin Rendering Phase Portraits and Bifurcation Diagrams in Multidimensional Oscillating Systems. Search on Bibsonomy SCCC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Nonlinear and Chaotic Dynamical Systems, Bifurcations and Oscillations, Computer Graphics, Scientific Visualization
10Chien-Ping Chang, Ting-Yi Sung, Lih-Hsing Hsu Edge Congestion and Topological Properties of Crossed Cubes. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF edge congestion, hypercubes, embedding, shortest path routing, wide diameter, fault diameter, Crossed cubes, bisection width
10Sang Jeong Lee, Pen-Chung Yew On Some Implementation Issues for Value Prediction on Wide-Issue ILP Processors. Search on Bibsonomy IEEE PACT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Kevin X. He, Michael D. Lemmon Liveness Verification of Discrete Event Systems Modeled by n -Safe Ordinary Petri Nets. Search on Bibsonomy ICATPN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Markus Stumptner, Michael Schrefl Behavior Consistent Inheritance in UML. Search on Bibsonomy ER The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Volker Walter 0001, Dieter Fritsch Automated revsion of GIS databases. Search on Bibsonomy ACM-GIS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF ATKIS, classification, GIS, matching, remote sensing, update
10Gerald G. Pechanek, Stamatis Vassiliadis The ManArray( Embedded Processor Architecture. Search on Bibsonomy EUROMICRO The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Makoto Yokoo, Katsutoshi Hirayama The Effect of Nogood Learning in Distributed Constraint Satisfaction. Search on Bibsonomy ICDCS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Abdel Ejnioui, N. Ranganathan Design Partitioning on Single-Chip Emulation Systems. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF FPGA partitioning, integer programming, FPGA architecture, schedule optimization
10Prabir Dasgupta, Santanu Chattopadhyay, Indranil Sengupta 0001 Cellular Automata Based Deterministic Test Sequence Generator for Sequential Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Iman Poernomo, John N. Crossley Protocols between Programs and Proofs. Search on Bibsonomy LOPSTR (LNCS 2042: Selected Papers) The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Stefan Biffl, Michael Halling, Monika Köhle Investigating the Effect of a Second Software Inspection Cycle: Cost-Benefit Data from a Large-Scale Experiment on Reinspection of a Software Requirements Document. Search on Bibsonomy APAQS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Jeannette C. M. Janssen, Lata Narayanan Approximation Algorithms for Channel Assignment with Constraints. Search on Bibsonomy ISAAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Orna Kupferman, Moshe Y. Vardi Model Checking of Safety Properties. Search on Bibsonomy CAV The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10David Hartvigsen The Square-Free 2-Factor Problem in Bipartite Graphs. Search on Bibsonomy IPCO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10András A. Benczúr, Jörg Förster, Zoltán Király Dilworth's Theorem and Its Application for Path Systems of a Cycle - Implementation and Analysis. Search on Bibsonomy ESA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Lakshminarayanan Subramanian, Karthikeyan Mahesh Efficient End-Host Resource Management with Kernel Optimizations for Multimedia Applications. Search on Bibsonomy ECMAST The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Han-joon Kim, Sang-goo Lee A New Flash Memory Management for Flash Storage System. Search on Bibsonomy COMPSAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF flash storage system, cleaning algorithm, cycle-leveling, flash memory
10Jung-Heum Park, Hee-Chul Kim Dihamiltonian Decomposition of Regular Graphs with Degree Three. Search on Bibsonomy WG The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Johanne Cohen, Pierre Fraigniaud, Cyril Gavoille Recognizing Bipartite Incident-Graphs of Circulant Digraphs. Search on Bibsonomy WG The full citation details ... 1999 DBLP  DOI  BibTeX  RDF broadcasting, gossiping, graph isomorphism, circulant graphs, chordal rings
10Nien-Tsu Wang, Nam Ling A Novel Dual-Path Architecture for HDTV Video Decoding. Search on Bibsonomy Data Compression Conference The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Christoph Dornheim Graph Embedding with Topological Cycle-Constraints. Search on Bibsonomy GD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Priyadarshan Kolte, Roger Smith, Su Wen A Fast Median Filter Using AltiVec. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Ali Manzak, Chaitali Chakrabarti A low power scheduling scheme with resources operating at multiple voltages. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Wen-Tsong Shiue, Chaitali Chakrabarti Memory exploration for low power embedded systems. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Chin-Liang Wang, Ching-Hsien Chang A novel DHT-based FFT/IFFT processor for ADSL transceivers. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Timothy Griffin, F. Bruce Shepherd, Gordon T. Wilfong Policy Disputes in Path-Vector Protocols. Search on Bibsonomy ICNP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Noppanunt Utamaphethai, R. D. (Shawn) Blanton, John Paul Shen Superscalar Processor Validation at the Microarchitecture Level. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Chih-Chang Lin, Malgorzata Marek-Sadowska, Mike Tien-Chien Lee, Kuang-Chien Chen Cost-free scan: a low-overhead scan path design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Chau-Shen Chen, TingTing Hwang Layout Driven Selection and Chaining of Partial Scan Flip-Flops. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF design for testability, matching, placement, global routing, partial scan, digital testing, layout optimization
10James M. Calvin, Marvin K. Nakayama Using Permutations in Regenerative Simulations to Reduce Variance. Search on Bibsonomy ACM Trans. Model. Comput. Simul. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF regenerative simulation, permutations, variance reduction, efficiency improvement
10Martin C. Cooper The Tractability of Segmentation and Scene Analysis. Search on Bibsonomy Int. J. Comput. Vis. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF computational complexity, segmentation, NP-completeness, scene analysis
10Sorin Cotofana, Stamatis Vassiliadis Periodic symmetric functions, serial addition, and multiplication with neural networks. Search on Bibsonomy IEEE Trans. Neural Networks The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Helena Rodrigues, Richard E. Jones Cyclic Distributed Garbage Collection with Group Merger. Search on Bibsonomy ECOOP The full citation details ... 1998 DBLP  DOI  BibTeX  RDF distributed systems, garbage collection, termination detection
10Klaus Biedermann Powerset Trilattices. Search on Bibsonomy ICCS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Peter-Michael Seidel How to Half the Latency of IEEE Compliant Floating-Point Multiplication. Search on Bibsonomy EUROMICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Gilles Trombettoni A Polynomial Time Local Propagation Algorithm for General Dataflow Constraint Problems. Search on Bibsonomy CP The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Jim E. Crenshaw, Majid Sarrafzadeh Low Power Driven Scheduling and Binding. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF high level synthesis, low power design, design automation, VLSI CAD
10W. Lynn Gallagher, Earl E. Swartzlander Jr. Error-Correcting Goldschmidt Dividers Using Time Shared TMR. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Goldschmidt's algorithm, time shared TMR, TSTMR fault tolerance, fault tolerant arithmetic, division
10Charles L. Byrne Convergent block-iterative algorithms for image reconstruction from inconsistent data. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
Displaying result #901 - #1000 of 9584 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license