The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for mode with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1953-1965 (17) 1966-1969 (17) 1970-1974 (16) 1975-1976 (23) 1977-1978 (20) 1979-1980 (16) 1981-1982 (26) 1983 (16) 1984 (29) 1985 (25) 1986 (31) 1987 (40) 1988 (52) 1989 (50) 1990 (69) 1991 (75) 1992 (86) 1993 (107) 1994 (163) 1995 (258) 1996 (218) 1997 (241) 1998 (277) 1999 (364) 2000 (475) 2001 (550) 2002 (602) 2003 (777) 2004 (1003) 2005 (1281) 2006 (1644) 2007 (1688) 2008 (1789) 2009 (1397) 2010 (1024) 2011 (1035) 2012 (1298) 2013 (1361) 2014 (1464) 2015 (1444) 2016 (1579) 2017 (1785) 2018 (2059) 2019 (2218) 2020 (2287) 2021 (2472) 2022 (2330) 2023 (2254) 2024 (636)
Publication types (Num. hits)
article(17983) book(20) data(10) incollection(115) inproceedings(20371) phdthesis(188) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 9899 occurrences of 4684 keywords

Results
Found 38692 publication records. Showing 38688 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
15Hualong Zhao Research and Application of PBL Mode in GIS Course Teaching. Search on Bibsonomy IFITA (3) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF PBL teaching mode, abililty, GIS
15Changhua Liu, Yaqin Li, Jongzhe Zhu Research on Radar Product Life Circle Management System Based on B/S Mode. Search on Bibsonomy IFITA (3) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF B/S mode, module design method, Radar, PLM
15Songhe Jin, Baowei Song, Lei He Recommendation of Online Tasks Based on Witkey Mode Website. Search on Bibsonomy IFITA (3) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Witkey mode, Recommender system, Collaborative filtering
15Vincent Nélis, Joël Goossens, Björn Andersson Two Protocols for Scheduling Multi-mode Real-Time Systems upon Identical Multiprocessor Platforms. Search on Bibsonomy ECRTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multi-mode real-time system, multiprocessor scheduling, global scheduling
15Hong-jun Cao, Liang Shen Study on the Decision Mode of Closed-Loop Supply Chain Based on Delivery Response Time. Search on Bibsonomy ESIAT (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Closed-Loop Supply Chain, decision mode, response time
15Jani Puttonen, Niko Kolehmainen, Tero Henttonen, Jorma Kaikkonen On Idle Mode Mobility State Detection in Evolved UTRAN. Search on Bibsonomy ITNG The full citation details ... 2009 DBLP  DOI  BibTeX  RDF speed detection, Evolved, LTE, mode, idle, UTRAN
15Sheng Zhang, Fei Long Neural Networks Sliding Mode Control for a Class of Switched Nonlinear Systems. Search on Bibsonomy ISNN (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Switched Nonlinear Systems, Sliding Mode Control and Adaptive Tracking Control, Neural Networks Control
15Yunhui Shi, Huayuan Cai, Baocai Yin Fast Intra Mode Decision Algorithm Based on Texture Feature for H.264/AVC. Search on Bibsonomy ICIG The full citation details ... 2009 DBLP  DOI  BibTeX  RDF intra mode decision, video coding, H.264/AVC
15Hejin Yuan A Novel Trajectory Smoothing Algorithm Based on Empirical Mode Decomposition. Search on Bibsonomy ICIG The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Trajectory smoothing, Empirical mode decomposition
15Hussain A. Alzaher, Noman Tasadduq A CMOS low power current-mode polyphase filter. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF CMOS analog integrated circuits, low power current mode circuit, polyphase filter
15Der-Fa Chen, Kai-chao Yao A Novel Sliding-Mode Controller Design for a Matrix Converter Drive System. Search on Bibsonomy HIS (3) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF PMSM, neural network, sliding mode, matrix converter
15Hui Li, Youzhi Zheng Image Fusion Algorithm Using Pyramidal Empirical Mode Decomposition. Search on Bibsonomy HIS (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Multi-Scale Decomposition (MSD), Pyramidal Empirical Mode Decomposition (PEMD), perfect reconstruction, image fusion
15Mohsen Shaaban, Magdy A. Bayoumi A Low Complexity Inter Mode Decision for MPEG-2 to H.264/AVC Video Transcoding in Mobile Environments. Search on Bibsonomy ISM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Motion Estimation, H.264/AVC, Video Transcoding, Mode Decision, Variable Block Size
15Mohammad Reza Mohammadnia, Hasan Taheri, Seyed Ahmad Motamedi Fast H.264/AVC Intra Mode Decision Implementation on DM648 DSP. Search on Bibsonomy ICSAP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF H.264 intra mode selection, DM648 DSP, embedded, fast
15Cheng-Chang Lien, Ya-Lin Huang, Chin-Chuan Han People Counting Using Multi-Mode Multi-Target Tracking Scheme. Search on Bibsonomy IIH-MSP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Multi-mode multi-target tracking, People counting
15Yang Li, Chen Ying, Xiao Qianhua A Small Power Switching Mode Power Supply Based on TOP Switch. Search on Bibsonomy JCAI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF switching mode power supply, tech-parameter, TOP Switch, fly-back converter
15Xuezhen Chen The Sliding Mode Direct Torque Control for ALA+PM Combined Rotor Synchronous Motor. Search on Bibsonomy JCAI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF ALA+PM, sliding mode controller, direct torque control
15Fenglou Zou, Deyu Song, Qiang Li, Bin Yuan A New Intelligent Technology of Steering-by-Wire System by Variable Structure Control with Sliding Mode. Search on Bibsonomy JCAI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Steering-by-Wire, vehicle, sliding mode, variable structure control
15LingFei Liang, ZiLiang Ping Information Hiding Based on Empirical Mode Decomposition. Search on Bibsonomy PACIIA (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF information hiding, empirical mode decomposition
15Zhimei Chen, Wenjun Meng, Jinggang, He Wang Fuzzy Reaching Law Sliding Mode Control of Robot Manipulators. Search on Bibsonomy PACIIA (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF reaching law, sliding mode variable structure control, fuzzy control, robot manipulators
15Pietro Maris Ferreira, José Gabriel Rodríguez Carneiro Gomes, Antonio Petraglia Current mode read-out circuit for infrared photodiode applications in 0.35 mum cmos. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF infra-red, read-out, image sensor, current-mode
15Pengfei Zeng, Yongping Hao, Weiping Shao, Yongxian Liu Towards a Network Video Conference System Based-On Group Cooperation Mode for Multidisciplinary Collaborative Product Design. Search on Bibsonomy ICIRA (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Multidisciplinary collaborative design, network video conference, group cooperation mode, similarity B/S structure, JMF
15Chung-Ping Young, Chung-Chu Chia, Liang-Bi Chen, Ing-Jer Huang NCPA: A Scheduling Algorithm for Multi-cipher and Multi-mode Reconfigurable Cryptosystem. Search on Bibsonomy IIH-MSP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multiple cipher, scheduling algorithm, Cryptosystem, operation mode, crypto-coprocessor
15Lan Luo, Zhiguang Qin, Shijie Zhou, Shaoquan Jiang, Juan Wang A Middleware Design for Block Cipher Seamless Connected into Stream Cipher Mode. Search on Bibsonomy IIH-MSP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Stream Cipher Mode
15Hui Zhang, Shenghong Chen, Yuqin Sun, Xiamu Niu An Evaluation Framework for Kernel-Mode Security Modules. Search on Bibsonomy IIH-MSP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Kernel-mode, Security Module, evaluation, interface
15Steven Manos, Maryanne Large, Leon Poladian Evolutionary design of single-mode microstructured polymer optical fibres using an artificial embryogeny representation. Search on Bibsonomy GECCO (Companion) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF microstructured optical fibre, optical design, polymer optical fibre, single-mode fibre, representation, artificial embryogeny
15Ricardo C. Goncalves da Silva, Henri Boudinov, Luigi Carro A cell library for low power high performance CMOS voltage-mode quaternary logic. Search on Bibsonomy SBCCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF quaternary logic design, voltage-mode, multi-valued logic
15James Harland, Michael Winikoff Agents via Mixed-Mode Computation in Linear Logic. Search on Bibsonomy Ann. Math. Artif. Intell. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF mixed-mode computation, Belief Desire Intention (BDI), intelligent agents, linear logic
15Yehya H. Ghallab, Wael M. Badawy A Novel pH Sensor Current Mode Read-Out Circuit Using Operational Floating Current Conveyor. Search on Bibsonomy ICMENS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Chemical sensor, ISFET pH sensor, Differential ISFET, Operational Floating Current Conveyor, Current mode circuits
15Lawrence T. Clark, Rakesh Patel, Timothy S. Beatty Managing standby and active mode leakage power in deep sub-micron design. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF SRAM leakage control, TGSRAM, battery lifetime, drowsy mode, thick gate shadow latch, transistor leakage, MTCMOS
15Miguel Á. Carreira-Perpiñán Mode-Finding for Mixtures of Gaussian Distributions. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF maximization algorithms, mode finding, bump finding, error bars, sparseness, Gaussian mixtures
15Wei-Lun Wang, Kuen-Jong Lee Accelerated test pattern generators for mixed-mode BIST environments. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF accelerated test pattern generators, mixed-mode BIST, pseudorandom patterns, deterministic patterns, scan-based built-in self-test, multiple sub-chains, multiple sequence generator, fault diagnosis, logic testing, built-in self test, integrated circuit testing, automatic test pattern generation, fault coverage, linear feedback shift registers, cost, test pattern generator, shift registers, test application time, scan chain, mixed analogue-digital integrated circuits, clock cycle, integrated circuit economics
15Jian Shen, Jacob A. Abraham Synthesis of Native Mode Self-Test Programs. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF native mode self-test, test synthesis, functional test generation
15Hao Tang, Hung Chang Lin Multi-Valued Decoder Based on Resonant Tunneling Diodes in Current Tapping Mode. Search on Bibsonomy ISMVL The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multi-valued decoder, current tapping mode, single peaked RTD sections, single literal function, simulation, decoding, circuit analysis computing, multivalued logic circuits, resonant tunnelling diodes, resonant tunneling diodes
15John Y. Ching, Andrew K. C. Wong, Keith C. C. Chan Class-Dependent Discretization for Inductive Learning from Continuous and Mixed-Mode Data. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF mixed-mode attributes, classification, uncertainty, mutual information, discretization, maximum entropy, Inductive learning, continuous attributes
15Fadi N. Sibai, N. K. Sharma 0002, Abdullah A. Abonamah Comparison of Reconfiguration Schemes for the C2SC MIN Operating in the Broadcast Mode. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF C2SC MIN, broadcast mode, complementary two-stage cube, multiple-path multistage interconnection networks, destination discriminator, divide and broadcast, decoded routing tag, conservative schemes, cost/performance analysis, reliability, fault tolerant computing, modularity, regularity, synchronisation, reconfigurable architectures, hypercube networks, simulation results, reconfiguration schemes
15Piotr Myszkorowski A feedforward sliding mode controller for a robot manipulator. Search on Bibsonomy J. Intell. Robotic Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF tracking, Robot manipulators, sliding mode controller, variable structure control
15Takeshi Yamakawa, Tsutomu Miki The Current Mode Fuzzy Logic Integrated Circuits Fabricated by the Standard CMOS Process. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1986 DBLP  DOI  BibTeX  RDF semicustom IC, fuzzy computer, fuzzy integrated circuit, fuzzy logic array, fuzzy logic building block, MOS current mirror, ratioless circuit, Current mode circuit
15O. Yenersoy Synthesis of Asynchronous Machines Using Minxed-Operation Mode. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1979 DBLP  DOI  BibTeX  RDF self-synchronization., Asynchronous sequential machines, controlled excitation, mixed-operation mode
15Riichiro Mizoguchi, Masamichi Shimura Nonparametric Learning Without a Teacher Based on Mode Estimation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1976 DBLP  DOI  BibTeX  RDF Hyper-cubic window function, mode estimation, multicategory problem, nonparametric algorithm, nonsupervised learning, pattern recognition
14Jonne Poikonen, Ari Paasio On the topographic equivalence between voltage mode and current mode ranked order filters for array processors. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Jiajian Chen, Jun Xiao 0003, Yuli Gao iSlideShow: a content-aware slideshow system. Search on Bibsonomy IUI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF content-based transition, theme clustering, GPU, slideshow
14Hector Ouilhet Google Sky Map: using your phone as an interface. Search on Bibsonomy Mobile HCI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
14Brandon Lucia, Luis Ceze, Karin Strauss ColorSafe: architectural support for debugging and dynamically avoiding multi-variable atomicity violations. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF bug avoidance, concurrency errors, data coloring, multi-variable, debugging, atomicity violations
14Chih-Chi Cheng, Po-Chih Tseng, Liang-Gee Chen Multimode Embedded Compression Codec Engine for Power-Aware Video Coding System. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Perdita Stevens A Simple Game-Theoretic Approach to Checkonly QVT Relations. Search on Bibsonomy ICMT@TOOLS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Bing-Chuan Bai, Augusli Kifli, Chien-Mo James Li, Kun-Cheng Wu Fault modeling and testing of retention flip-flops in low power designs. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Hichem Mrabet, Iyad Dayoub, Rabah Attia, Nizar Al-Holou, Charles Tatkeu Impact of chromatic and modal dispersion on frequency response of optical multimode fibers. Search on Bibsonomy ISCC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Hidehiro Fujiwara, Shunsuke Okumura, Yusuke Iguchi, Hiroki Noguchi, Hiroshi Kawaguchi 0001, Masahiko Yoshimoto A 7T/14T Dependable SRAM and its Array Structure to Avoid Half Selection. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Syed Zulqarnain Gilani, Naveed Iqbal Rao Fast Block Clustering Based Optimized Adaptive Mediod Shift. Search on Bibsonomy CAIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Color Image Clustering, Reverse Parallel Tree Traversing, Adaptive Mediod Shift Algorithm
14Jason C. Chen, Shao-Yi Chien CRISP: Coarse-Grained Reconfigurable Image Stream Processor for Digital Still Cameras and Camcorders. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Ehsan Pakbaznia, Farzan Fallah, Massoud Pedram Charge Recycling in Power-Gated CMOS Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Ilya Wagner, Valeria Bertacco, Todd M. Austin Using Field-Repairable Control Logic to Correct Design Errors in Microprocessors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Jin Zhang, James S. Lehnert Throughput-Optimal Precoding and Rate Allocation for MISO Systems With Noisy Feedback Channels. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Maria D. Miranda, Magno T. M. Silva, Victor H. Nascimento Avoiding Divergence in the Shalvi-Weinstein Algorithm. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14V. Krishnamurthy Decentralized Activation in Dense Sensor Networks via Global Games. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Aditi Roy, Shamik Sural, Jayanta Mukherjee 0001, Arun K. Majumdar State-Based Modeling and Object Extraction From Echocardiogram Video. Search on Bibsonomy IEEE Trans. Inf. Technol. Biomed. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Xuejun Tian, Xiang Chen 0012, Tetsuo Ideguchi, Takashi Okuda Improving protocol capacity by scheduling random access on WLANs. Search on Bibsonomy Telecommun. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Contention-based protocol, Reservation scheduling, Ad hoc networks, Wireless networks, WLAN, MAC protocol, DCF, PCF
14Manolis Perakakis, Alexandros Potamianos A Study in Efficiency and Modality Usage in Multimodal Form Filling Systems. Search on Bibsonomy IEEE Trans. Speech Audio Process. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Tetsuo Yamabe, Kiyotaka Takahashi, Tatsuo Nakajima Demonstration of a mobility-enhanced pedestrian navigation on mobile devices. Search on Bibsonomy MobiQuitous The full citation details ... 2008 DBLP  DOI  BibTeX  RDF mobile computers, interface design, interaction style
14Bo Li 0013, Henry Johan A Comparison Study on Two Multi-scale Shape Matching Schemes. Search on Bibsonomy ISVC (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Raja Jurdak, Antonio G. Ruzzelli, Gregory M. P. O'Hare Adaptive Radio Modes in Sensor Networks: How Deep to Sleep? Search on Bibsonomy SECON The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Devesh C. Jinwala, Dhiren R. Patel, Kankar S. Dasgupta Optimizing the Block Cipher and Modes of Operations Overhead at the Link Layer Security Framework in the Wireless Sensor Networks. Search on Bibsonomy ICISS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Wireless Sensor Networks, Authentication, Encryption, Block Ciphers, Link Layer Security
14Shiann-Tsong Sheu, Chih-Cheng Yang, Wu-Hsiao Hsu, Youn-Tai Lee, Shao-Jung Lu Smart merging strategy for configuring power saving parameters in IEEE 802.16 mobile WMANs. Search on Bibsonomy Mobility Conference The full citation details ... 2008 DBLP  DOI  BibTeX  RDF WiMAX, power saving, IEEE 802.16
14Jinyong Yu, Chuanjin Cheng, Shixing Wang 0002 The Application of Full Adaptive RBF NN to SMC Design of Missile Autopilot. Search on Bibsonomy ISNN (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF SMC, missile, adaptive, RBF
14Christophoros Christophorou, Andreas Pitsillides A new approach for efficient MBMS service provision in UTRAN. Search on Bibsonomy ISCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Sunyoung Cho, Lars Mathiassen, Michael Gallivan Crossing the Chasm: From Adoption to Diffusion of a Telehealth Innovation. Search on Bibsonomy Open IT-Based Innovation The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Telehealth innovations, innovation adoption, chasm, process models, innovation diffusion
14Yuji Kubota, Masatoshi Yoshida, Kazunori Komatani, Tetsuya Ogata, Hiroshi G. Okuno Design and Implementation of 3D Auditory Scene Visualizer towards Auditory Awareness with Face Tracking. Search on Bibsonomy ISM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Longjun Huang, Liping Dai, Bin Guo, Gang Lei Project-Driven Teaching Model for Software Project Management Course. Search on Bibsonomy CSSE (5) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Orlando Arrieta, Ramón Vilanova, Víctor M. Alfaro, Romualdo Moreno Considerations on PID controller operation: Application to a continuous stirred tank reactor. Search on Bibsonomy ETFA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Junpei Zushi, Gang Zeng, Hiroyuki Tomiyama, Hiroaki Takada, Koji Inoue Improved Policies for Drowsy Caches in Embedded Processors. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Low Power Cache Design, Leakage Energy, Drowsy Cache
14Mohammed Shareef I, Pradeep Nair, Bharadwaj Amrutur Energy Reduction in SRAM using Dynamic Voltage and Frequency Management. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Delay Monitor, DVFM, Pareto optimal curve, Replica circuits, SRAM, Energy reduction, Energy monitor
14Won-Ju Yoon, Sang-Hwa Chung, Seong-Joon Lee, Yun-Sung Lee An efficient cooperation of on-demand and proactive modes in Hybrid Wireless Mesh Protocol. Search on Bibsonomy LCN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Takashi Takekawa, Toshio Aoyagi, Tomoki Fukai Synchronous and asynchronous bursting states: role of intrinsic neural dynamics. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Stimulus-dependent synchronization, Fast rhythmic burst, Phase response, Synaptic location
14Hae-Moon Seo, YeonKug Moon, Yong-Kuk Park, Dongsu Kim, Dong-Sun Kim 0002, Youn-Sung Lee, Kwang-Ho Won, Seong-Dong Kim, Pyung Choi A Low Power Fully CMOS Integrated RF Transceiver IC for Wireless Sensor Networks. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Sunhee Yoon, Cyrus Shahabi The Clustered AGgregation (CAG) technique leveraging spatial and temporal correlations in wireless sensor networks. Search on Bibsonomy ACM Trans. Sens. Networks The full citation details ... 2007 DBLP  DOI  BibTeX  RDF spatial and temporal correlations, clustering, modeling, energy efficiency, approximation, accuracy, In-network processing and aggregation
14M. Alex O. Vasilescu, Demetri Terzopoulos Multilinear Projection for Appearance-Based Recognition in the Tensor Framework. Search on Bibsonomy ICCV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Dejan Raskovic, David Giessel Battery-Aware Embedded GPS Receiver Node. Search on Bibsonomy MobiQuitous The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Naohiko Sugita, Fumiaki Genma, Yoshikazu Nakajima, Mamoru Mitsuishi Adaptive Controlled Milling Robot for Orthopedic Surgery. Search on Bibsonomy ICRA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Seung-Hun Kim, Chi-Won Roh, Sung-Chul Kang, Min-Yong Park Outdoor Navigation of a Mobile Robot Using Differential GPS and Curb Detection. Search on Bibsonomy ICRA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Peng Li 0019, Shugen Ma, Bin Li 0001, Yuechao Wang, Changlong Ye 0001 An in-pipe inspection robot based on adaptive mobile mechanism: mechanical design and basic experiments. Search on Bibsonomy IROS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Yu Wang 0002, Hong Luo, Ku He, Rong Luo, Huazhong Yang, Yuan Xie 0001 Temperature-aware NBTI modeling and the impact of input vector control on performance degradation. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Ilya Wagner, Valeria Bertacco Engineering trust with semantic guardians. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Hongtao Zhong, Steven A. Lieberman, Scott A. Mahlke Extending Multicore Architectures to Exploit Hybrid Parallelism in Single-thread Applications. Search on Bibsonomy HPCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Mototsugu Hamada, Takeshi Kitahara, Naoyuki Kawabe, Hironori Sato, Tsuyoshi Nishikawa, Takayoshi Shimazawa, Takahiro Yamashita, Hiroyuki Hara, Yukihito Oowaki An automated runtime power-gating scheme. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Daniele Rossi 0001, Paolo Angelini, Cecilia Metra Configurable Error Control Scheme for NoC Signal Integrity. Search on Bibsonomy IOLTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Andrew B. Kahng, Sherief Reda, Puneet Sharma On-Line Adjustable Buffering for Runtime Power Reduction. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Zhiyu Liu, Volkan Kursun Charge Recycling Between Virtual Power and Ground Lines for Low Energy MTCMOS. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Multi-threshold voltage CMOS, gated power, gated ground, sleep switch, subthreshold leakage, charge recycling
14Michael Lerch, German Tischler, Jürgen Wolff von Gudenberg, Werner Hofschuster, Walter Krämer FILIB++, a fast interval library supporting containment computations. Search on Bibsonomy ACM Trans. Math. Softw. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF C++ class library, containment computations, containment sets, exception free computations, filib++, guaranteed numerical results, traits class, validated numerics, validation, templates, interval arithmetic, interval computations
14Minqiang Jiang, Nam Ling On Lagrange multiplier and quantizer adjustment for H.264 frame-layer video rate control. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Tien-Ying Kuo, Chen-Hung Chan Fast Variable Block Size Motion Estimation for H.264 Using Likelihood and Correlation of Motion Field. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Yung-Chieh Lin, Feng Lu 0002, Kwang-Ting Cheng Pseudofunctional testing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Shahin Sirouspour, Ali Shahdi Model Predictive Control for Transparent Teleoperation Under Communication Time Delay. Search on Bibsonomy IEEE Trans. Robotics The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Minqiang Jiang, Nam Ling Low-delay rate control for real-time H.264/AVC video coding. Search on Bibsonomy IEEE Trans. Multim. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Dan Hirsch, Jeff Kramer, Jeff Magee, Sebastián Uchitel Modes for Software Architectures. Search on Bibsonomy EWSA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Héctor Tejeda, Edgar Chávez, Juan A. Sánchez, Pedro M. Ruiz Energy-Efficient Face Routing on the Virtual Spanner. Search on Bibsonomy ADHOC-NOW The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Mohammad Zakariya Siam, Marwan Krunz, Alaa Muqattash, Shuguang Cui Adaptive multi-antenna power control in wireless networks. Search on Bibsonomy IWCMC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF infrastructure-based networks, ad hoc networks, energy efficiency, IEEE 802.11, power control, MIMO, power-aware routing
14Tao Li 0006, Lizy K. John OS-aware tuning: improving instruction cache energy efficiency on system workloads. Search on Bibsonomy IPCCC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Chi-Yin Chow, Mohamed F. Mokbel, Xuan Liu A peer-to-peer spatial cloaking algorithm for anonymous location-based service. Search on Bibsonomy GIS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF location privacy and spatial cloaking, mobile computing, location-based services
14Sebastian Speicher, Christian Bünnig Fast MAC-Layer Scanning in IEEE 802.11 Fixed Relay Radio Access Networks. Search on Bibsonomy ICN/ICONS/MCL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
Displaying result #901 - #1000 of 38688 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license