Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
12 | Joan J. Valls, Alberto Ros 0001, Julio Sahuquillo, María Engracia Gómez |
PS-Cache: an energy-efficient cache design for chip multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 71(1), pp. 67-86, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
12 | Sara Afshar, Nima Moghaddami Khalilzad, Farhang Nemati, Thomas Nolte |
Resource sharing among prioritized real-time applications on multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGBED Rev. ![In: SIGBED Rev. 12(1), pp. 46-55, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
12 | Hao Xiao, Ning Wu, Fen Ge, Guanyu Zhu, Lei Zhou 0006 |
Distributed Synchronization for Message-Passing Based Embedded Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Trans. Inf. Syst. ![In: IEICE Trans. Inf. Syst. 98-D(2), pp. 272-275, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
12 | Mohammad Mahdi Keshtegar, Hajar Falahati, Shaahin Hessabi |
Cluster-based approach for improving graphics processing unit performance by inter streaming multiprocessors locality. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IET Comput. Digit. Tech. ![In: IET Comput. Digit. Tech. 9(5), pp. 275-282, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
12 | Deli Zhang, Brendan Lynch, Damian Dechev |
Queue-Based and Adaptive Lock Algorithms for Scalable Resource Allocation on Shared-Memory Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Parallel Program. ![In: Int. J. Parallel Program. 43(5), pp. 721-751, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
12 | Abdullah Kayi, Olivier Serres, Tarek A. El-Ghazawi |
Adaptive Cache Coherence Mechanisms with Producer-Consumer Sharing Optimization for Chip Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 64(2), pp. 316-328, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
12 | Chenggang Wu 0002, Jin Li, Di Xu, Pen-Chung Yew, Jianjun Li, Zhenjiang Wang |
FPS: A Fair-Progress Process Scheduling Policy on Shared-Memory Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 26(2), pp. 444-454, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
12 | Dominic DiTomaso, Avinash Karanth Kodi, David W. Matolak, Savas Kaya, Soumyasanta Laha, William Rayess |
A-WiNoC: Adaptive Wireless Network-on-Chip Architecture for Chip Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 26(12), pp. 3289-3302, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
12 | Jagpreet Singh, Sandeep Betha, Bhargav Mangipudi, Nitin Auluck |
Contention Aware Energy Efficient Scheduling on Heterogeneous Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 26(5), pp. 1251-1264, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
12 | Ying Zhang 0016, Lide Duan, Bin Li 0008, Lu Peng 0001, Sadagopan Srinivasan |
Cross-architecture prediction based scheduling for energy efficient execution on single-ISA heterogeneous chip-multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microprocess. Microsystems ![In: Microprocess. Microsystems 39(4-5), pp. 271-285, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
12 | Hsiang-Yun Cheng, Matt Poremba, Narges Shahidi, Ivan Stalev, Mary Jane Irwin, Mahmut T. Kandemir, Jack Sampson, Yuan Xie 0001 |
EECache: A Comprehensive Study on the Architectural Design for Energy-Efficient Last-Level Caches in Chip Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 12(2), pp. 17:1-17:22, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
12 | Gung-Yu Pan, Jed Yang, Jing-Yang Jou, Bo-Cheng Charles Lai |
Scalable Global Power Management Policy Based on Combinatorial Optimization for Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 14(4), pp. 70:1-70:24, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
12 | Abhisek Pan, Rance Rodrigues, Sandip Kundu |
A Hardware Framework for Yield and Reliability Enhancement in Chip Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 14(1), pp. 12:1-12:26, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
12 | Hyungjun Kim, Siva Bhanu Krishna Boga, Arseniy Vitkovskiy, Stavros Hadjitheophanous, Paul V. Gratz, Vassos Soteriou, Maria K. Michael |
Use It or Lose It: Proactive, Deterministic Longevity in Future Chip Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 20(4), pp. 65:1-65:26, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
12 | Vítor Schwambach, Sébastien Cleyet-Merle, Alain Issard, Stéphane Mancini |
Estimating the Potential Speedup of Computer Vision Applications on Embedded Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1502.07446, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP BibTeX RDF |
|
12 | Juan Yi, Qingfeng Zhuge, Jingtong Hu, Shouzhen Gu, Mingwen Qin, Edwin Hsing-Mean Sha |
Reliability-Guaranteed Task Assignment and Scheduling for Heterogeneous Multiprocessors Considering Timing Constraint. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Signal Process. Syst. ![In: J. Signal Process. Syst. 81(3), pp. 359-375, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
12 | Nishit Ashok Kapadia, Venkata Yaswanth Raparti, Sudeep Pasricha |
ARTEMIS: An Aging-Aware Runtime Application Mapping Framework for 3D NoC-based Chip Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NOCS ![In: Proceedings of the 9th International Symposium on Networks-on-Chip, NOCS 2015, Vancouver, BC, Canada, September 28-30, 2015, pp. 31:1-31:2, 2015, ACM, 978-1-4503-3396-2. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
12 | Raimund Kirner, Saverio Iacovelli, Michael Zolda |
Optimised Adaptation of Mixed-Criticality Systems with Periodic Tasks on Uniform Multiprocessors in Case of Faults. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISORC Workshops ![In: 2015 IEEE International Symposium on Object/Component/Service-Oriented Real-Time Distributed Computing Workshops, ISORC Workshops 2015, Auckland, New Zealand, April 13-17, 2015, pp. 17-25, 2015, IEEE Computer Society, 978-1-4673-7709-6. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
12 | Wen-Hung Huang 0001, Jian-Jia Chen |
Response time bounds for sporadic arbitrary-deadline tasks under global fixed-priority scheduling on multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTNS ![In: Proceedings of the 23rd International Conference on Real Time Networks and Systems, RTNS 2015, Lille, France, November 4-6, 2015, pp. 215-224, 2015, ACM, 978-1-4503-3591-1. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
12 | Theoni Alexoudi, Dimitrios Fitsios, Pavlos Maniotis, Chris Vagionas, Sotirios Papaioannou 0001, Amalia N. Miliou, George T. Kanellos, Nikos Pleros |
WDM-enabled optical RAM and optical cache memory architectures for Chip Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICTON ![In: 17th International Conference on Transparent Optical Networks, ICTON 2015, Budapest, Hungary, July 5-9, 2015, pp. 1-4, 2015, IEEE, 978-1-4673-7880-2. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
12 | Mwaffaq Otoom, JoAnn M. Paul |
Chip-level programming of heterogeneous multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IDT ![In: 10th International Design & Test Symposium, IDT 2015, Dead Sea, Amman, Jordan, December 14-16, 2015, pp. 20-25, 2015, IEEE, 978-1-4673-9994-4. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
12 | Jiankang Ren, Linh Thi Xuan Phan |
Mixed-Criticality Scheduling on Multiprocessors Using Task Grouping. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECRTS ![In: 27th Euromicro Conference on Real-Time Systems, ECRTS 2015, Lund, Sweden, July 8-10, 2015, pp. 25-34, 2015, IEEE Computer Society, 978-1-4673-7570-2. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
12 | Kecheng Yang 0001, James H. Anderson |
An Optimal Semi-partitioned Scheduler for Uniform Heterogeneous Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECRTS ![In: 27th Euromicro Conference on Real-Time Systems, ECRTS 2015, Lund, Sweden, July 8-10, 2015, pp. 199-210, 2015, IEEE Computer Society, 978-1-4673-7570-2. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
12 | Anouk Van Laer, Chamath Ellawala, Muhammad Ridwan Madarbux, Philip M. Watts, Timothy M. Jones 0001 |
Coherence based message prediction for optically interconnected chip multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, DATE 2015, Grenoble, France, March 9-13, 2015, pp. 613-616, 2015, ACM, 978-3-9815370-4-8. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP BibTeX RDF |
|
12 | Abbas Mazloumi, Mehdi Modarressi |
A hybrid packet/circuit-switched router to accelerate memory access in NoC-based chip multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, DATE 2015, Grenoble, France, March 9-13, 2015, pp. 908-911, 2015, ACM, 978-3-9815370-4-8. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP BibTeX RDF |
|
12 | Kathryn E. Gray, Gabriel Kerneis, Dominic P. Mulligan, Christopher Pulte, Susmit Sarkar, Peter Sewell |
An integrated concurrency and core-ISA architectural envelope definition, and test oracle, for IBM POWER multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 48th International Symposium on Microarchitecture, MICRO 2015, Waikiki, HI, USA, December 5-9, 2015, pp. 635-646, 2015, ACM, 978-1-4503-4034-2. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
12 | Xiaohao Lin, Weichen Liu, Chunming Xiao, Jie Dai, Xianlu Luo, Dan Zhang 0011, Duo Liu, Kaijie Wu 0001, Qingfeng Zhuge, Edwin Hsing-Mean Sha |
Realistic Task Parallelization of the H.264 Decoding Algorithm for Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCC/CSS/ICESS ![In: 17th IEEE International Conference on High Performance Computing and Communications, HPCC 2015, 7th IEEE International Symposium on Cyberspace Safety and Security, CSS 2015, and 12th IEEE International Conference on Embedded Software and Systems, ICESS 2015, New York, NY, USA, August 24-26, 2015, pp. 871-874, 2015, IEEE, 978-1-4799-8937-9. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
12 | Ryan W. Moore, Bruce R. Childers, Jingling Xue |
Performance Modeling of Multithreaded Programs for Mobile Asymmetric Chip Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCC/CSS/ICESS ![In: 17th IEEE International Conference on High Performance Computing and Communications, HPCC 2015, 7th IEEE International Symposium on Cyberspace Safety and Security, CSS 2015, and 12th IEEE International Conference on Embedded Software and Systems, ICESS 2015, New York, NY, USA, August 24-26, 2015, pp. 957-963, 2015, IEEE, 978-1-4799-8937-9. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
12 | Jereme Lamps, Vladimir Adam, David M. Nicol, Matthew Caesar 0001 |
Conjoining Emulation and Network Simulators on Linux Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGSIM-PADS ![In: Proceedings of the 3rd ACM Conference on SIGSIM-Principles of Advanced Discrete Simulation, London, United Kingdom, June 10 - 12, 2015, pp. 113-124, 2015, ACM, 978-1-4503-3583-6. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
12 | Salman Onsori, Arghavan Asad, Özcan Özturk 0001, Mahmood Fathy |
Hybrid stacked memory architecture for energy efficient embedded chip-multiprocessors based on compiler directed approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IGSC ![In: Sixth International Green and Sustainable Computing Conference, IGSC 2015, Las Vegas, NV, USA, December 14-16, 2015, pp. 1-7, 2015, IEEE Computer Society, 978-1-5090-0172-9. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
12 | Kecheng Yang 0001, James H. Anderson |
On the Soft Real-Time Optimality of Global EDF on Multiprocessors: From Identical to Uniform Heterogeneous. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTCSA ![In: 21st IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, RTCSA 2015, Hong Kong, China, August 19-21, 2015, pp. 1-10, 2015, IEEE Computer Society, 978-1-4673-7855-0. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
12 | Zhenmin Li, Avinash Malik, Zoran A. Salcic |
Reducing Worst Case Reaction Time of Synchronous Programs on Chip-multiprocessors with Application-Specific TDMA Scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
JTRES ![In: Proceedings of the 13th International Workshop on Java Technologies for Real-time and Embedded Systems, JTRES 2015, Paris, France, October 7-8, 2015, pp. 11:1-11:9, 2015, ACM, 978-1-4503-3644-4. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
12 | Shuai Zhao 0004, Andy J. Wellings, Stephan Erbs Korsholm |
Supporting Multiprocessors in the Icecap Safety-Critical Java Run-Time Environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
JTRES ![In: Proceedings of the 13th International Workshop on Java Technologies for Real-time and Embedded Systems, JTRES 2015, Paris, France, October 7-8, 2015, pp. 1:1-1:10, 2015, ACM, 978-1-4503-3644-4. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
12 | Marco Escalante, Andrew B. Kahng, Michael Kishinevsky, Ümit Y. Ogras, Kambiz Samadi |
Multi-product floorplan and uncore design framework for chip multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SLIP ![In: 2015 ACM/IEEE International Workshop on System Level Interconnect Prediction, SLIP 2015, San Francisco, CA, USA, June 6, 2015, pp. 1-7, 2015, IEEE Computer Society, 978-1-4673-8189-5. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
12 | Christine Niyizamwiyitira, Lars Lundberg, Håkan Lennerstad |
Utilization-Based Schedulability Test of Real-Time Systems on Virtual Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP Workshops ![In: 44th International Conference on Parallel Processing Workshops, ICPPW 2015, Beijing, China, September 1-4, 2015, pp. 267-276, 2015, IEEE Computer Society, 978-1-4673-7589-4. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
12 | Ming-Ju Wu, Yan-Ting Chen, Chun-Jen Tsai |
Dynamic pipeline-partitioned video decoding on symmetric stream multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASAP ![In: 26th IEEE International Conference on Application-specific Systems, Architectures and Processors, ASAP 2015, Toronto, ON, Canada, July 27-29, 2015, pp. 106-110, 2015, IEEE Computer Society, 978-1-4799-1925-3. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
12 | Milad Ghorbani Moghaddam, Alexandre Yasuo Yamamoto, Cristinel Ababei |
Investigation of DVFS based dynamic reliability management for chip multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCS ![In: 2015 International Conference on High Performance Computing & Simulation, HPCS 2015, Amsterdam, Netherlands, July 20-24, 2015, pp. 563-568, 2015, IEEE, 978-1-4673-7812-3. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
12 | Sara Afshar, Moris Behnam, Reinder J. Bril, Thomas Nolte |
Resource sharing in a hybrid partitioned/global scheduling framework for multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ETFA ![In: 20th IEEE Conference on Emerging Technologies & Factory Automation, ETFA 2015, Luxembourg, September 8-11, 2015, pp. 1-10, 2015, IEEE, 978-1-4673-7929-8. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
12 | Kartheek Vanapalli, Hemangee K. Kapoor, Shirshendu Das |
An efficient searching mechanism for dynamic NUCA in chip multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VDAT ![In: 19th International Symposium on VLSI Design and Test, VDAT 2015, Ahmedabad, India, June 26-29, 2015, pp. 1-5, 2015, IEEE Computer Society, 978-1-4799-1743-3. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
12 | Jagpreet Singh, Nitin Auluck |
Controlled Duplication Scheduling of Real-Time Precedence Tasks on Heterogeneous Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
JSSPP ![In: Job Scheduling Strategies for Parallel Processing - 19th and 20th International Workshops, JSSPP 2015, Hyderabad, India, May 26, 2015 and JSSPP 2016, Chicago, IL, USA, May 27, 2016, Revised Selected Papers, pp. 3-21, 2015, Springer, 978-3-319-61755-8. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
12 | Aleksandar Simevski |
Architectural framework for dynamically adaptable multiprocessors regarding aging, fault tolerance, performance and power consumption. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2015 |
RDF |
|
12 | Milan B. Radulovic, Milo Tomasevic, Veljko M. Milutinovic |
Register-Level Communication in Speculative Chip Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Adv. Comput. ![In: Adv. Comput. 92, pp. 1-66, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Xiaowen Wu, Yaoyao Ye, Jiang Xu 0001, Wei Zhang 0012, Weichen Liu, Mahdi Nikdast, Xuan Wang 0001 |
UNION: A Unified Inter/Intrachip Optical Network for Chip Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 22(5), pp. 1082-1095, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Risat Mahmud Pathan, Jan Jonsson |
Interference-aware fixed-priority schedulability analysis on multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Real Time Syst. ![In: Real Time Syst. 50(4), pp. 411-455, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Björn Andersson, Gurulingesh Raravi |
Real-time scheduling with resource sharing on heterogeneous multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Real Time Syst. ![In: Real Time Syst. 50(2), pp. 270-314, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Gurulingesh Raravi, Björn Andersson, Vincent Nélis, Konstantinos Bletsas |
Task assignment algorithms for two-type heterogeneous multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Real Time Syst. ![In: Real Time Syst. 50(1), pp. 87-141, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Sanjoy K. Baruah, Bipasa Chattopadhyay, Haohan Li, Insik Shin |
Mixed-criticality scheduling on multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Real Time Syst. ![In: Real Time Syst. 50(1), pp. 142-177, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Abdullah Kayi, Olivier Serres, Tarek A. El-Ghazawi |
Bandwidth Adaptive Cache Coherence Optimizations for Chip Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Parallel Program. ![In: Int. J. Parallel Program. 42(3), pp. 435-455, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Jian Chen 0030, Arun Arvind Nair, Lizy K. John |
Predictive Heterogeneity-Aware Application Scheduling for Chip Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 63(2), pp. 435-447, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Yong Li 0009, Rami G. Melhem, Alex K. Jones |
A Practical Data Classification Framework for Scalable and High Performance Chip-Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 63(12), pp. 2905-2918, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Zhehui Wang, Jiang Xu 0001, Xiaowen Wu, Yaoyao Ye, Wei Zhang 0012, Mahdi Nikdast, Xuan Wang 0001, Zhe Wang 0003 |
Floorplan Optimization of Fat-Tree-Based Networks-on-Chip for Chip Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 63(6), pp. 1446-1459, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Aparna Mandke Dani, Bharadwaj Amrutur, Y. N. Srikant |
Toward a Scalable Working Set Size Estimation Method and Its Application for Chip Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 63(6), pp. 1567-1579, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Usman Mazhar Mirza, Flavius Gruian, Krzysztof Kuchcinski |
Mapping streaming applications on multiprocessors with time-division-multiplexed network-on-chip. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Electr. Eng. ![In: Comput. Electr. Eng. 40(8), pp. 276-291, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Hongya Wang, LihChyun Shu, Wei Yin, Yingyuan Xiao, Jiao Cao |
Hyperbolic Utilization Bounds for Rate Monotonic Scheduling on Homogeneous Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 25(6), pp. 1510-1521, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Rômulo Silva de Oliveira, Andreu Carminati, Renan Augusto Starke |
Using an adversary simulator to evaluate global EDF scheduling of sporadic task sets on multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Parallel Distributed Comput. ![In: J. Parallel Distributed Comput. 74(10), pp. 3037-3044, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Hongyin Luo, Shaojun Wei, Deming Chen, Donghui Guo |
Hybrid circuit-switched network for on-chip communication in large-scale chip-multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Parallel Distributed Comput. ![In: J. Parallel Distributed Comput. 74(9), pp. 2818-2830, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Shirshendu Das, Hemangee K. Kapoor |
Victim retention for reducing cache misses in tiled chip multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microprocess. Microsystems ![In: Microprocess. Microsystems 38(4), pp. 263-275, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Alexandre Yasuo Yamamoto, Cristinel Ababei |
Unified reliability estimation and management of NoC based chip multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microprocess. Microsystems ![In: Microprocess. Microsystems 38(1), pp. 53-63, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Luis Angel D. Bathen, Nikil D. Dutt |
Embedded RAIDs-on-chip for bus-based chip-multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 13(4), pp. 83:1-83:36, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Björn Andersson, Gurulingesh Raravi |
Provably Good Task Assignment for Two-Type Heterogeneous Multiprocessors Using Cutting Planes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 13(5s), pp. 160:1-160:25, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Abbas BanaiyanMofrad, Gustavo Girão, Nikil D. Dutt |
NoC-based fault-tolerant cache design in chip multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 13(3s), pp. 115:1-115:26, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Nizar Dahir, Ra'ed Al-Dujaily, Terrence S. T. Mak, Alex Yakovlev |
Thermal Optimization in Network-on-Chip-Based 3D Chip Multiprocessors Using Dynamic Programming Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 13(4s), pp. 139:1-139:25, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Gurulingesh Raravi, Vincent Nélis |
Task Assignment Algorithms for Heterogeneous Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 13(5s), pp. 159:1-159:26, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Gung-Yu Pan, Jing-Yang Jou, Bo-Cheng Lai |
Scalable Power Management Using Multilevel Reinforcement Learning for Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 19(4), pp. 33:1-33:23, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Da-Cheng Juan, Siddharth Garg, Diana Marculescu |
Statistical Peak Temperature Prediction and Thermal Yield Improvement for 3D Chip Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 19(4), pp. 39:1-39:23, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Guangmo Tong, Cong Liu 0005 |
Supporting Soft Real-Time Sporadic Task Systems on Heterogeneous Multiprocessors with No Utilization Loss. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1405.7322, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP BibTeX RDF |
|
12 | Shun-Chi Yu |
Elucidating multiprocessors flow shop scheduling with dependent setup times using a twin particle swarm optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Appl. Soft Comput. ![In: Appl. Soft Comput. 21, pp. 578-589, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Poongothai Marimuthu, Rajeswari Arumugam, V. Kanishkan |
A heuristic based real time task assignment algorithm for heterogeneous multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Electron. Express ![In: IEICE Electron. Express 11(3), pp. 20130975, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Cong Liu 0005, James H. Anderson |
Supporting soft real-time parallel applications on multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Syst. Archit. ![In: J. Syst. Archit. 60(2), pp. 152-164, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Thomas E. Anderson, Brian N. Bershad, Edward D. Lazowska, Henry M. Levy |
Thread Management for Shared-Memory Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computing Handbook, 3rd ed. (1) ![In: Computing Handbook, Third Edition: Computer Science and Software Engineering, pp. 53: 1-12, 2014, CRC Press, 978-1-43-989852-9. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP BibTeX RDF |
|
12 | Harsh Arora, Rijubrata Mukherjee, Abhijit Bej, Hillol Adak |
Directory based cache coherence modeller in multiprocessors: Medium insight. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICACCI ![In: 2014 International Conference on Advances in Computing, Communications and Informatics, ICACCI 2014, Delhi, India, September 24-27, 2014, pp. 2611-2617, 2014, IEEE, 978-1-4799-3078-4. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Xiang Pan, Radu Teodorescu |
Using STT-RAM to enable energy-efficient near-threshold chip multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: International Conference on Parallel Architectures and Compilation, PACT '14, Edmonton, AB, Canada, August 24-27, 2014, pp. 485-486, 2014, ACM, 978-1-4503-2809-8. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Millad Ghane, Mohammad Arjomand, Hamid Sarbazi-Azad |
An Opto-electrical NoC with Traffic Flow Prediction in Chip Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDP ![In: 22nd Euromicro International Conference on Parallel, Distributed, and Network-Based Processing, PDP 2014, Torino, Italy, February 12-14, 2014, pp. 440-443, 2014, IEEE Computer Society, 978-1-4799-2729-6. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Bipasa Chattopadhyay, Sanjoy K. Baruah |
Limited-Preemption Scheduling on Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTNS ![In: 22nd International Conference on Real-Time Networks and Systems, RTNS '14, Versaille, France, October 8-10, 2014, pp. 225, 2014, ACM, 978-1-4503-2727-5. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Kecheng Yang 0001, James H. Anderson |
Soft Real-Time Semi-Partitioned Scheduling with Restricted Migrations on Uniform Heterogeneous Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTNS ![In: 22nd International Conference on Real-Time Networks and Systems, RTNS '14, Versaille, France, October 8-10, 2014, pp. 215, 2014, ACM, 978-1-4503-2727-5. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Malèk Channoufi, Pierre Lecoy, Rabah Attia, Sofien Mhatli, Bruno Delacressonniere |
Nanophotonic network on chip for next generation of multiprocessors system on chip. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSNDSP ![In: 9th International Symposium on Communication Systems, Networks & Digital Signal Processing, CSNDSP 2014, Manchester, UK, July 23-25, 2014, pp. 443-448, 2014, IEEE, 978-1-4799-2581-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Mamata Dalui, Biplab K. Sikdar |
CA Based Scalable Protocol Processor for Chip Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISED ![In: 2014 Fifth International Symposium on Electronic System Design, Surathkal, Mangalore, India, December 15-17, 2014, pp. 161-165, 2014, IEEE Computer Society, 978-1-4799-6965-4. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | David Kadjo, Jinchun Kim, Prabal Sharma, Reena Panda, Paul Gratz, Daniel A. Jiménez |
B-Fetch: Branch Prediction Directed Prefetching for Chip-Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 47th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 2014, Cambridge, United Kingdom, December 13-17, 2014, pp. 623-634, 2014, IEEE Computer Society, 978-1-4799-6998-2. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Aleksandar Simevski, Rolf Kraemer, Milos Krstic |
Investigating Core-Level N-Modular Redundancy in Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCSoC ![In: IEEE 8th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014, pp. 175-180, 2014, IEEE Computer Society, 978-1-4799-4305-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Onur Derin, Leandro Fiorin |
Towards a Reliability-aware Design Flow for Kahn Process Networks on NoC-based Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ARCS Workshops ![In: ARCS 2014 - 27th International Conference on Architecture of Computing Systems, Workshop Proceedings, February 25-28, 2014, Luebeck, Germany, University of Luebeck, Institute of Computer Engineering, pp. 1-8, 2014, VDE Verlag / IEEE Xplore, 978-3-8007-3579-2. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP BibTeX RDF |
|
12 | Yuan Yao 0009, Zhonghai Lu |
Fuzzy flow regulation for Network-on-Chip based chip multiprocessors systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: 19th Asia and South Pacific Design Automation Conference, ASP-DAC 2014, Singapore, January 20-23, 2014, pp. 343-348, 2014, IEEE, 978-1-4799-2816-3. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Nilufar Ferdous, Byeong Kil Lee, Eugene John |
Performance enhancement in shared-memory multiprocessors using dynamically classified sharing information. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPCCC ![In: IEEE 33rd International Performance Computing and Communications Conference, IPCCC 2014, Austin, TX, USA, December 5-7, 2014, pp. 1-8, 2014, IEEE Computer Society, 978-1-4799-7575-4. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Jagpreet Singh, Nitin Auluck |
DVFS and duplication based scheduling for optimizing power and performance in heterogeneous multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SpringSim (HPS) ![In: 2014 Spring Simulation Multiconference, SpringSim '14, Tampa, FL, USA, April 13-16, 2014, Proceedings of the High Performance Computing Symposium, pp. 22, 2014, ACM. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP BibTeX RDF |
|
12 | Junli Gu, Bradford M. Beckmann, Ting Cao, Yu Hu |
iCHAT: Inter-cache Hardware-Assistant Data Transfer for Heterogeneous Chip Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NAS ![In: 9th IEEE International Conference on Networking, Architecture, and Storage, NAS 2014, Tianjin, China, August 6-8, 2014, pp. 242-251, 2014, IEEE Computer Society, 978-1-4799-4087-5. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Bryan C. Ward, James H. Anderson |
Multi-resource Real-Time Reader/Writer Locks for Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 2014 IEEE 28th International Parallel and Distributed Processing Symposium, Phoenix, AZ, USA, May 19-23, 2014, pp. 177-186, 2014, IEEE Computer Society, 978-1-4799-3799-8. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Di Zhu 0002, Lizhong Chen, Siyu Yue, Timothy Mark Pinkston, Massoud Pedram |
Balancing On-Chip Network Latency in Multi-application Mapping for Chip-Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 2014 IEEE 28th International Parallel and Distributed Processing Symposium, Phoenix, AZ, USA, May 19-23, 2014, pp. 872-881, 2014, IEEE Computer Society, 978-1-4799-3799-8. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Edward H. Gornish, Elana D. Granston, Alexander V. Veidenbaum |
Author retrospective for compiler-directed data prefetching in multiprocessors with memory hierarchies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS 25th Anniversary ![In: ACM International Conference on Supercomputing 25th Anniversary Volume, pp. 9-11, 2014, ACM, 978-1-4503-2840-1. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Jichuan Chang, Gurindar S. Sohi |
Author retrospective for cooperative cache partitioning for chip multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS 25th Anniversary ![In: ACM International Conference on Supercomputing 25th Anniversary Volume, pp. 80-81, 2014, ACM, 978-1-4503-2840-1. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Tao Yang 0009, Apostolos Gerasoulis |
Author retrospective for PYRROS: static task scheduling and code generation for message passing multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS 25th Anniversary ![In: ACM International Conference on Supercomputing 25th Anniversary Volume, pp. 18-20, 2014, ACM, 978-1-4503-2840-1. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Jianqing Xiao, Pengwei Lv, Mian Lou, Xunying Zhang, Xubang Shen |
A task-level superscalar microarchitecture for large scale chip multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTCSA ![In: 2014 IEEE 20th International Conference on Embedded and Real-Time Computing Systems and Applications, Chongqing, China, August 20-22, 2014, pp. 1-8, 2014, IEEE Computer Society, 978-1-4799-3953-4. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Jaewoo Lee, Kieu-My Phan, Xiaozhe Gu, Jiyeon Lee, Arvind Easwaran, Insik Shin, Insup Lee 0001 |
MC-Fluid: Fluid Model-Based Mixed-Criticality Scheduling on Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTSS ![In: Proceedings of the IEEE 35th IEEE Real-Time Systems Symposium, RTSS 2014, Rome, Italy, December 2-5, 2014, pp. 41-52, 2014, IEEE Computer Society, 978-1-4799-7287-6. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Hamza Gharsellaoui, Mohamed Khalgui, Samir Ben Ahmed |
Preemptive Hard Real-time Scheduling of Reconfigurable OS Tasks on Multiprocessors Embedded Control Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PECCS ![In: PECCS 2014 - Proceedings of the 4th International Conference on Pervasive and Embedded Computing and Communication Systems, Lisbon, Portugal, 7-9 January, 2014, pp. 192-197, 2014, SciTePress, 978-989-758-000-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Mourad Elhadef |
A Machine Learning Approach for Self-Diagnosing Multiprocessors Systems under the Generalized Comparison Model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
UIC/ATC/ScalCom ![In: 2014 IEEE 11th Intl Conf on Ubiquitous Intelligence and Computing and 2014 IEEE 11th Intl Conf on Autonomic and Trusted Computing and 2014 IEEE 14th Intl Conf on Scalable Computing and Communications and Its Associated Workshops, Bali, Indonesia, December 9-12, 2014, pp. 417-424, 2014, IEEE Computer Society, 978-1-4799-7646-1. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Kecheng Yang 0001, James H. Anderson |
Optimal GEDF-based schedulers that allow intra-task parallelism on heterogeneous multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESTIMedia ![In: 12th IEEE Symposium on Embedded Systems for Real-time Multimedia, ESTIMedia 2014, Greater Noida, India, October 16-17, 2014, pp. 30-39, 2014, IEEE, 978-1-4799-6308-9. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Hsiang-Yun Cheng, Matthew Poremba, Narges Shahidi, Ivan Stalev, Mary Jane Irwin, Mahmut T. Kandemir, Jack Sampson, Yuan Xie 0001 |
EECache: exploiting design choices in energy-efficient last-level caches for chip multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, USA - August 11 - 13, 2014, pp. 303-306, 2014, ACM, 978-1-4503-2975-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Pavlos Maniotis, D. Fitsios, George T. Kanellos, Nikos Pleros |
A 16GHz optical cache memory architecture for set-associative mapping in chip multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OFC ![In: Optical Fiber Communications Conference and Exhibition, OFC 2014, San Francisco, CA, USA, March 9-13, 2014, pp. 1-3, 2014, IEEE. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Jurrien de Klerk, Sumeet S. Kumar, René van Leuken 0001 |
Cache Balancer: Access Rate and Pain Based Resource Management for Chip Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CANDAR ![In: Second International Symposium on Computing and Networking, CANDAR 2014, Shizuoka, Japan, December 10-12, 2014, pp. 453-456, 2014, IEEE Computer Society, 978-1-4799-4152-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Hao Xiao, Tsuyoshi Isshiki, Dongju Li, Hiroaki Kunieda, Guanyu Zhu |
Distributed synchronization for message-passing based embedded multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASAP ![In: IEEE 25th International Conference on Application-Specific Systems, Architectures and Processors, ASAP 2014, Zurich, Switzerland, June 18-20, 2014, pp. 82-83, 2014, IEEE Computer Society, 978-1-4799-3609-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Chuanlei Zheng, Shuai Wang 0006 |
Characterizing soft error vulnerability of cache coherence protocols for chip-multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFT ![In: 2014 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2014, Amsterdam, The Netherlands, October 1-3, 2014, pp. 15-20, 2014, IEEE Computer Society, 978-1-4799-6155-9. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
12 | Ying Zhang 0016, Lide Duan, Bin Li 0008, Lu Peng 0001, Sadagopan Srinivasan |
Energy efficient job scheduling in single-ISA heterogeneous chip-multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: Fifteenth International Symposium on Quality Electronic Design, ISQED 2014, Santa Clara, CA, USA, March 3-5, 2014, pp. 660-666, 2014, IEEE, 978-1-4799-3945-9. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|