The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for multiprocessors with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1966-1977 (16) 1978-1982 (24) 1983-1984 (32) 1985 (19) 1986 (35) 1987 (38) 1988 (71) 1989 (92) 1990 (117) 1991 (129) 1992 (132) 1993 (128) 1994 (151) 1995 (163) 1996 (138) 1997 (158) 1998 (101) 1999 (144) 2000 (120) 2001 (86) 2002 (85) 2003 (110) 2004 (116) 2005 (162) 2006 (163) 2007 (190) 2008 (185) 2009 (158) 2010 (139) 2011 (97) 2012 (59) 2013 (57) 2014 (72) 2015 (48) 2016 (39) 2017 (43) 2018 (29) 2019 (25) 2020 (22) 2021-2022 (21) 2023-2024 (9)
Publication types (Num. hits)
article(1129) book(3) incollection(15) inproceedings(2474) phdthesis(101) proceedings(1)
Venues (Conferences, Journals, ...)
IEEE Trans. Parallel Distribut...(162) IEEE Trans. Computers(132) ISCA(98) IPDPS(95) HPCA(73) ICPP(73) J. Parallel Distributed Comput...(70) SC(61) ICS(54) Euro-Par(52) IPPS(50) ICPP (1)(45) PACT(45) SIGMETRICS(43) DAC(40) Parallel Comput.(39) More (+10 of total 632)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 4193 occurrences of 1488 keywords

Results
Found 3723 publication records. Showing 3723 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
12Joan J. Valls, Alberto Ros 0001, Julio Sahuquillo, María Engracia Gómez PS-Cache: an energy-efficient cache design for chip multiprocessors. Search on Bibsonomy J. Supercomput. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Sara Afshar, Nima Moghaddami Khalilzad, Farhang Nemati, Thomas Nolte Resource sharing among prioritized real-time applications on multiprocessors. Search on Bibsonomy SIGBED Rev. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Hao Xiao, Ning Wu, Fen Ge, Guanyu Zhu, Lei Zhou 0006 Distributed Synchronization for Message-Passing Based Embedded Multiprocessors. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Mohammad Mahdi Keshtegar, Hajar Falahati, Shaahin Hessabi Cluster-based approach for improving graphics processing unit performance by inter streaming multiprocessors locality. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Deli Zhang, Brendan Lynch, Damian Dechev Queue-Based and Adaptive Lock Algorithms for Scalable Resource Allocation on Shared-Memory Multiprocessors. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Abdullah Kayi, Olivier Serres, Tarek A. El-Ghazawi Adaptive Cache Coherence Mechanisms with Producer-Consumer Sharing Optimization for Chip Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Chenggang Wu 0002, Jin Li, Di Xu, Pen-Chung Yew, Jianjun Li, Zhenjiang Wang FPS: A Fair-Progress Process Scheduling Policy on Shared-Memory Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Dominic DiTomaso, Avinash Karanth Kodi, David W. Matolak, Savas Kaya, Soumyasanta Laha, William Rayess A-WiNoC: Adaptive Wireless Network-on-Chip Architecture for Chip Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Jagpreet Singh, Sandeep Betha, Bhargav Mangipudi, Nitin Auluck Contention Aware Energy Efficient Scheduling on Heterogeneous Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Ying Zhang 0016, Lide Duan, Bin Li 0008, Lu Peng 0001, Sadagopan Srinivasan Cross-architecture prediction based scheduling for energy efficient execution on single-ISA heterogeneous chip-multiprocessors. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Hsiang-Yun Cheng, Matt Poremba, Narges Shahidi, Ivan Stalev, Mary Jane Irwin, Mahmut T. Kandemir, Jack Sampson, Yuan Xie 0001 EECache: A Comprehensive Study on the Architectural Design for Energy-Efficient Last-Level Caches in Chip Multiprocessors. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Gung-Yu Pan, Jed Yang, Jing-Yang Jou, Bo-Cheng Charles Lai Scalable Global Power Management Policy Based on Combinatorial Optimization for Multiprocessors. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Abhisek Pan, Rance Rodrigues, Sandip Kundu A Hardware Framework for Yield and Reliability Enhancement in Chip Multiprocessors. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Hyungjun Kim, Siva Bhanu Krishna Boga, Arseniy Vitkovskiy, Stavros Hadjitheophanous, Paul V. Gratz, Vassos Soteriou, Maria K. Michael Use It or Lose It: Proactive, Deterministic Longevity in Future Chip Multiprocessors. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Vítor Schwambach, Sébastien Cleyet-Merle, Alain Issard, Stéphane Mancini Estimating the Potential Speedup of Computer Vision Applications on Embedded Multiprocessors. Search on Bibsonomy CoRR The full citation details ... 2015 DBLP  BibTeX  RDF
12Juan Yi, Qingfeng Zhuge, Jingtong Hu, Shouzhen Gu, Mingwen Qin, Edwin Hsing-Mean Sha Reliability-Guaranteed Task Assignment and Scheduling for Heterogeneous Multiprocessors Considering Timing Constraint. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Nishit Ashok Kapadia, Venkata Yaswanth Raparti, Sudeep Pasricha ARTEMIS: An Aging-Aware Runtime Application Mapping Framework for 3D NoC-based Chip Multiprocessors. Search on Bibsonomy NOCS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Raimund Kirner, Saverio Iacovelli, Michael Zolda Optimised Adaptation of Mixed-Criticality Systems with Periodic Tasks on Uniform Multiprocessors in Case of Faults. Search on Bibsonomy ISORC Workshops The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Wen-Hung Huang 0001, Jian-Jia Chen Response time bounds for sporadic arbitrary-deadline tasks under global fixed-priority scheduling on multiprocessors. Search on Bibsonomy RTNS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Theoni Alexoudi, Dimitrios Fitsios, Pavlos Maniotis, Chris Vagionas, Sotirios Papaioannou 0001, Amalia N. Miliou, George T. Kanellos, Nikos Pleros WDM-enabled optical RAM and optical cache memory architectures for Chip Multiprocessors. Search on Bibsonomy ICTON The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Mwaffaq Otoom, JoAnn M. Paul Chip-level programming of heterogeneous multiprocessors. Search on Bibsonomy IDT The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Jiankang Ren, Linh Thi Xuan Phan Mixed-Criticality Scheduling on Multiprocessors Using Task Grouping. Search on Bibsonomy ECRTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Kecheng Yang 0001, James H. Anderson An Optimal Semi-partitioned Scheduler for Uniform Heterogeneous Multiprocessors. Search on Bibsonomy ECRTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Anouk Van Laer, Chamath Ellawala, Muhammad Ridwan Madarbux, Philip M. Watts, Timothy M. Jones 0001 Coherence based message prediction for optically interconnected chip multiprocessors. Search on Bibsonomy DATE The full citation details ... 2015 DBLP  BibTeX  RDF
12Abbas Mazloumi, Mehdi Modarressi A hybrid packet/circuit-switched router to accelerate memory access in NoC-based chip multiprocessors. Search on Bibsonomy DATE The full citation details ... 2015 DBLP  BibTeX  RDF
12Kathryn E. Gray, Gabriel Kerneis, Dominic P. Mulligan, Christopher Pulte, Susmit Sarkar, Peter Sewell An integrated concurrency and core-ISA architectural envelope definition, and test oracle, for IBM POWER multiprocessors. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Xiaohao Lin, Weichen Liu, Chunming Xiao, Jie Dai, Xianlu Luo, Dan Zhang 0011, Duo Liu, Kaijie Wu 0001, Qingfeng Zhuge, Edwin Hsing-Mean Sha Realistic Task Parallelization of the H.264 Decoding Algorithm for Multiprocessors. Search on Bibsonomy HPCC/CSS/ICESS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Ryan W. Moore, Bruce R. Childers, Jingling Xue Performance Modeling of Multithreaded Programs for Mobile Asymmetric Chip Multiprocessors. Search on Bibsonomy HPCC/CSS/ICESS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Jereme Lamps, Vladimir Adam, David M. Nicol, Matthew Caesar 0001 Conjoining Emulation and Network Simulators on Linux Multiprocessors. Search on Bibsonomy SIGSIM-PADS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Salman Onsori, Arghavan Asad, Özcan Özturk 0001, Mahmood Fathy Hybrid stacked memory architecture for energy efficient embedded chip-multiprocessors based on compiler directed approach. Search on Bibsonomy IGSC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Kecheng Yang 0001, James H. Anderson On the Soft Real-Time Optimality of Global EDF on Multiprocessors: From Identical to Uniform Heterogeneous. Search on Bibsonomy RTCSA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Zhenmin Li, Avinash Malik, Zoran A. Salcic Reducing Worst Case Reaction Time of Synchronous Programs on Chip-multiprocessors with Application-Specific TDMA Scheduling. Search on Bibsonomy JTRES The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Shuai Zhao 0004, Andy J. Wellings, Stephan Erbs Korsholm Supporting Multiprocessors in the Icecap Safety-Critical Java Run-Time Environment. Search on Bibsonomy JTRES The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Marco Escalante, Andrew B. Kahng, Michael Kishinevsky, Ümit Y. Ogras, Kambiz Samadi Multi-product floorplan and uncore design framework for chip multiprocessors. Search on Bibsonomy SLIP The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Christine Niyizamwiyitira, Lars Lundberg, Håkan Lennerstad Utilization-Based Schedulability Test of Real-Time Systems on Virtual Multiprocessors. Search on Bibsonomy ICPP Workshops The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Ming-Ju Wu, Yan-Ting Chen, Chun-Jen Tsai Dynamic pipeline-partitioned video decoding on symmetric stream multiprocessors. Search on Bibsonomy ASAP The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Milad Ghorbani Moghaddam, Alexandre Yasuo Yamamoto, Cristinel Ababei Investigation of DVFS based dynamic reliability management for chip multiprocessors. Search on Bibsonomy HPCS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Sara Afshar, Moris Behnam, Reinder J. Bril, Thomas Nolte Resource sharing in a hybrid partitioned/global scheduling framework for multiprocessors. Search on Bibsonomy ETFA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Kartheek Vanapalli, Hemangee K. Kapoor, Shirshendu Das An efficient searching mechanism for dynamic NUCA in chip multiprocessors. Search on Bibsonomy VDAT The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Jagpreet Singh, Nitin Auluck Controlled Duplication Scheduling of Real-Time Precedence Tasks on Heterogeneous Multiprocessors. Search on Bibsonomy JSSPP The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Aleksandar Simevski Architectural framework for dynamically adaptable multiprocessors regarding aging, fault tolerance, performance and power consumption. Search on Bibsonomy 2015   RDF
12Milan B. Radulovic, Milo Tomasevic, Veljko M. Milutinovic Register-Level Communication in Speculative Chip Multiprocessors. Search on Bibsonomy Adv. Comput. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Xiaowen Wu, Yaoyao Ye, Jiang Xu 0001, Wei Zhang 0012, Weichen Liu, Mahdi Nikdast, Xuan Wang 0001 UNION: A Unified Inter/Intrachip Optical Network for Chip Multiprocessors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Risat Mahmud Pathan, Jan Jonsson Interference-aware fixed-priority schedulability analysis on multiprocessors. Search on Bibsonomy Real Time Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Björn Andersson, Gurulingesh Raravi Real-time scheduling with resource sharing on heterogeneous multiprocessors. Search on Bibsonomy Real Time Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Gurulingesh Raravi, Björn Andersson, Vincent Nélis, Konstantinos Bletsas Task assignment algorithms for two-type heterogeneous multiprocessors. Search on Bibsonomy Real Time Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Sanjoy K. Baruah, Bipasa Chattopadhyay, Haohan Li, Insik Shin Mixed-criticality scheduling on multiprocessors. Search on Bibsonomy Real Time Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Abdullah Kayi, Olivier Serres, Tarek A. El-Ghazawi Bandwidth Adaptive Cache Coherence Optimizations for Chip Multiprocessors. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Jian Chen 0030, Arun Arvind Nair, Lizy K. John Predictive Heterogeneity-Aware Application Scheduling for Chip Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Yong Li 0009, Rami G. Melhem, Alex K. Jones A Practical Data Classification Framework for Scalable and High Performance Chip-Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Zhehui Wang, Jiang Xu 0001, Xiaowen Wu, Yaoyao Ye, Wei Zhang 0012, Mahdi Nikdast, Xuan Wang 0001, Zhe Wang 0003 Floorplan Optimization of Fat-Tree-Based Networks-on-Chip for Chip Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Aparna Mandke Dani, Bharadwaj Amrutur, Y. N. Srikant Toward a Scalable Working Set Size Estimation Method and Its Application for Chip Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Usman Mazhar Mirza, Flavius Gruian, Krzysztof Kuchcinski Mapping streaming applications on multiprocessors with time-division-multiplexed network-on-chip. Search on Bibsonomy Comput. Electr. Eng. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Hongya Wang, LihChyun Shu, Wei Yin, Yingyuan Xiao, Jiao Cao Hyperbolic Utilization Bounds for Rate Monotonic Scheduling on Homogeneous Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Rômulo Silva de Oliveira, Andreu Carminati, Renan Augusto Starke Using an adversary simulator to evaluate global EDF scheduling of sporadic task sets on multiprocessors. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Hongyin Luo, Shaojun Wei, Deming Chen, Donghui Guo Hybrid circuit-switched network for on-chip communication in large-scale chip-multiprocessors. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Shirshendu Das, Hemangee K. Kapoor Victim retention for reducing cache misses in tiled chip multiprocessors. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Alexandre Yasuo Yamamoto, Cristinel Ababei Unified reliability estimation and management of NoC based chip multiprocessors. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Luis Angel D. Bathen, Nikil D. Dutt Embedded RAIDs-on-chip for bus-based chip-multiprocessors. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Björn Andersson, Gurulingesh Raravi Provably Good Task Assignment for Two-Type Heterogeneous Multiprocessors Using Cutting Planes. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Abbas BanaiyanMofrad, Gustavo Girão, Nikil D. Dutt NoC-based fault-tolerant cache design in chip multiprocessors. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Nizar Dahir, Ra'ed Al-Dujaily, Terrence S. T. Mak, Alex Yakovlev Thermal Optimization in Network-on-Chip-Based 3D Chip Multiprocessors Using Dynamic Programming Networks. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Gurulingesh Raravi, Vincent Nélis Task Assignment Algorithms for Heterogeneous Multiprocessors. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Gung-Yu Pan, Jing-Yang Jou, Bo-Cheng Lai Scalable Power Management Using Multilevel Reinforcement Learning for Multiprocessors. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Da-Cheng Juan, Siddharth Garg, Diana Marculescu Statistical Peak Temperature Prediction and Thermal Yield Improvement for 3D Chip Multiprocessors. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Guangmo Tong, Cong Liu 0005 Supporting Soft Real-Time Sporadic Task Systems on Heterogeneous Multiprocessors with No Utilization Loss. Search on Bibsonomy CoRR The full citation details ... 2014 DBLP  BibTeX  RDF
12Shun-Chi Yu Elucidating multiprocessors flow shop scheduling with dependent setup times using a twin particle swarm optimization. Search on Bibsonomy Appl. Soft Comput. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Poongothai Marimuthu, Rajeswari Arumugam, V. Kanishkan A heuristic based real time task assignment algorithm for heterogeneous multiprocessors. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Cong Liu 0005, James H. Anderson Supporting soft real-time parallel applications on multiprocessors. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Thomas E. Anderson, Brian N. Bershad, Edward D. Lazowska, Henry M. Levy Thread Management for Shared-Memory Multiprocessors. Search on Bibsonomy Computing Handbook, 3rd ed. (1) The full citation details ... 2014 DBLP  BibTeX  RDF
12Harsh Arora, Rijubrata Mukherjee, Abhijit Bej, Hillol Adak Directory based cache coherence modeller in multiprocessors: Medium insight. Search on Bibsonomy ICACCI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Xiang Pan, Radu Teodorescu Using STT-RAM to enable energy-efficient near-threshold chip multiprocessors. Search on Bibsonomy PACT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Millad Ghane, Mohammad Arjomand, Hamid Sarbazi-Azad An Opto-electrical NoC with Traffic Flow Prediction in Chip Multiprocessors. Search on Bibsonomy PDP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Bipasa Chattopadhyay, Sanjoy K. Baruah Limited-Preemption Scheduling on Multiprocessors. Search on Bibsonomy RTNS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Kecheng Yang 0001, James H. Anderson Soft Real-Time Semi-Partitioned Scheduling with Restricted Migrations on Uniform Heterogeneous Multiprocessors. Search on Bibsonomy RTNS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Malèk Channoufi, Pierre Lecoy, Rabah Attia, Sofien Mhatli, Bruno Delacressonniere Nanophotonic network on chip for next generation of multiprocessors system on chip. Search on Bibsonomy CSNDSP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Mamata Dalui, Biplab K. Sikdar CA Based Scalable Protocol Processor for Chip Multiprocessors. Search on Bibsonomy ISED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12David Kadjo, Jinchun Kim, Prabal Sharma, Reena Panda, Paul Gratz, Daniel A. Jiménez B-Fetch: Branch Prediction Directed Prefetching for Chip-Multiprocessors. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Aleksandar Simevski, Rolf Kraemer, Milos Krstic Investigating Core-Level N-Modular Redundancy in Multiprocessors. Search on Bibsonomy MCSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Onur Derin, Leandro Fiorin Towards a Reliability-aware Design Flow for Kahn Process Networks on NoC-based Multiprocessors. Search on Bibsonomy ARCS Workshops The full citation details ... 2014 DBLP  BibTeX  RDF
12Yuan Yao 0009, Zhonghai Lu Fuzzy flow regulation for Network-on-Chip based chip multiprocessors systems. Search on Bibsonomy ASP-DAC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Nilufar Ferdous, Byeong Kil Lee, Eugene John Performance enhancement in shared-memory multiprocessors using dynamically classified sharing information. Search on Bibsonomy IPCCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Jagpreet Singh, Nitin Auluck DVFS and duplication based scheduling for optimizing power and performance in heterogeneous multiprocessors. Search on Bibsonomy SpringSim (HPS) The full citation details ... 2014 DBLP  BibTeX  RDF
12Junli Gu, Bradford M. Beckmann, Ting Cao, Yu Hu iCHAT: Inter-cache Hardware-Assistant Data Transfer for Heterogeneous Chip Multiprocessors. Search on Bibsonomy NAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Bryan C. Ward, James H. Anderson Multi-resource Real-Time Reader/Writer Locks for Multiprocessors. Search on Bibsonomy IPDPS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Di Zhu 0002, Lizhong Chen, Siyu Yue, Timothy Mark Pinkston, Massoud Pedram Balancing On-Chip Network Latency in Multi-application Mapping for Chip-Multiprocessors. Search on Bibsonomy IPDPS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Edward H. Gornish, Elana D. Granston, Alexander V. Veidenbaum Author retrospective for compiler-directed data prefetching in multiprocessors with memory hierarchies. Search on Bibsonomy ICS 25th Anniversary The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Jichuan Chang, Gurindar S. Sohi Author retrospective for cooperative cache partitioning for chip multiprocessors. Search on Bibsonomy ICS 25th Anniversary The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Tao Yang 0009, Apostolos Gerasoulis Author retrospective for PYRROS: static task scheduling and code generation for message passing multiprocessors. Search on Bibsonomy ICS 25th Anniversary The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Jianqing Xiao, Pengwei Lv, Mian Lou, Xunying Zhang, Xubang Shen A task-level superscalar microarchitecture for large scale chip multiprocessors. Search on Bibsonomy RTCSA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Jaewoo Lee, Kieu-My Phan, Xiaozhe Gu, Jiyeon Lee, Arvind Easwaran, Insik Shin, Insup Lee 0001 MC-Fluid: Fluid Model-Based Mixed-Criticality Scheduling on Multiprocessors. Search on Bibsonomy RTSS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Hamza Gharsellaoui, Mohamed Khalgui, Samir Ben Ahmed Preemptive Hard Real-time Scheduling of Reconfigurable OS Tasks on Multiprocessors Embedded Control Systems. Search on Bibsonomy PECCS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Mourad Elhadef A Machine Learning Approach for Self-Diagnosing Multiprocessors Systems under the Generalized Comparison Model. Search on Bibsonomy UIC/ATC/ScalCom The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Kecheng Yang 0001, James H. Anderson Optimal GEDF-based schedulers that allow intra-task parallelism on heterogeneous multiprocessors. Search on Bibsonomy ESTIMedia The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Hsiang-Yun Cheng, Matthew Poremba, Narges Shahidi, Ivan Stalev, Mary Jane Irwin, Mahmut T. Kandemir, Jack Sampson, Yuan Xie 0001 EECache: exploiting design choices in energy-efficient last-level caches for chip multiprocessors. Search on Bibsonomy ISLPED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Pavlos Maniotis, D. Fitsios, George T. Kanellos, Nikos Pleros A 16GHz optical cache memory architecture for set-associative mapping in chip multiprocessors. Search on Bibsonomy OFC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Jurrien de Klerk, Sumeet S. Kumar, René van Leuken 0001 Cache Balancer: Access Rate and Pain Based Resource Management for Chip Multiprocessors. Search on Bibsonomy CANDAR The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Hao Xiao, Tsuyoshi Isshiki, Dongju Li, Hiroaki Kunieda, Guanyu Zhu Distributed synchronization for message-passing based embedded multiprocessors. Search on Bibsonomy ASAP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Chuanlei Zheng, Shuai Wang 0006 Characterizing soft error vulnerability of cache coherence protocols for chip-multiprocessors. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Ying Zhang 0016, Lide Duan, Bin Li 0008, Lu Peng 0001, Sadagopan Srinivasan Energy efficient job scheduling in single-ISA heterogeneous chip-multiprocessors. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
Displaying result #901 - #1000 of 3723 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license