The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for pipelining with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1972-1979 (17) 1980-1984 (17) 1985-1986 (22) 1987-1988 (24) 1989 (17) 1990 (26) 1991 (17) 1992 (27) 1993 (28) 1994 (43) 1995 (59) 1996 (51) 1997 (52) 1998 (52) 1999 (59) 2000 (66) 2001 (66) 2002 (72) 2003 (82) 2004 (91) 2005 (115) 2006 (114) 2007 (98) 2008 (109) 2009 (76) 2010 (30) 2011 (26) 2012 (15) 2013 (26) 2014 (25) 2015 (30) 2016 (26) 2017 (27) 2018 (24) 2019 (26) 2020 (15) 2021 (21) 2022 (28) 2023 (30) 2024 (5)
Publication types (Num. hits)
article(496) book(1) incollection(9) inproceedings(1241) phdthesis(7)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1997 occurrences of 975 keywords

Results
Found 1754 publication records. Showing 1754 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
15Jae-Beom Lee, Byeong Gi Lee Transform domain filtering based on pipelining structure. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
15Devinder Kaur 0001 Hierarchical Hypernet for Pipelining. Search on Bibsonomy Comput. J. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
15Alessandro De Gloria, Paolo Faraboschi, Mauro Olivieri A non-deterministic scheduler for a software pipelining compiler. Search on Bibsonomy MICRO The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
15Randall M. Lee, Vicki H. Allan Advanced Software Pipelining and the Program Dependence Graph. Search on Bibsonomy SPDP The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
15Tsing-Fa Lee, Allen C.-H. Wu, Daniel Gajski, Youn-Long Lin An effective methodology for functional pipelining. Search on Bibsonomy ICCAD The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
15H. Fatih Ugurdag, Christos A. Papachristou ALMP: A Shifting Memory Architecture for Loop Pipelining. Search on Bibsonomy ICCD The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
15Miodrag Potkonjak, Jan M. Rabaey Pipelining: just another transformation. Search on Bibsonomy ASAP The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
15Fabian Klass, Johannes M. Mulder Use of CMOS Technology in Wave Pipelining. Search on Bibsonomy VLSI Design The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
15Arthur Abnous, Nader Bagherzadeh Pipelining and bypassing in a VLIW processor. Search on Bibsonomy ISCA The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
15Reiner Müller Implementierung von Algorithmen zur Optimierung von Schleifen mit Hilfe von Software-Pipelining-Techniken Search on Bibsonomy Forschungsberichte, TU Munich The full citation details ... 1991   RDF
15Keshab K. Parhi Pipelining in dynamic programming architectures. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
15Guang R. Gao A code mapping scheme for dataflow software pipelining. Search on Bibsonomy 1991   RDF
15C. Thomas Gray, Thomas A. Hughes, Sanjay Arora, Wentai Liu, Ralph K. Cavin III Theoretical and Practical Issues in CMOS Wave Pipelining. Search on Bibsonomy VLSI The full citation details ... 1991 DBLP  BibTeX  RDF
15Albert van der Werf, B. T. McSweeney, Jef L. van Meerbergen, Paul E. R. Lippens, Wim F. J. Verhaegh Hierarchical Retiming Including Pipelining. Search on Bibsonomy VLSI The full citation details ... 1991 DBLP  BibTeX  RDF
15Bogong Su, Jian Wang 0046 GURPR*: A New Global Software Pipelining Algorithm. Search on Bibsonomy MICRO The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
15Jan Hoogerbrugge, Henk Corporaal, Hans M. Mulder Software Pipelining for Transport-Triggered Architectures. Search on Bibsonomy MICRO The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
15Suneel Jain Circular Scheduling: A New Technique to Perform Software Pipelining. Search on Bibsonomy PLDI The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
15Rajinder Jit Singh, John V. McCanny A wave digital filter three-port adaptor with fine grained pipelining. Search on Bibsonomy ASAP The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
15Wayne W. C. Luk Pipelining and transposing heterogeneous array circuits. Search on Bibsonomy ASAP The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
15Cheng-Tsung Hwang, Yu-Chin Hsu, Youn-Long Lin Scheduling for Functional Pipelining and Loop Winding. Search on Bibsonomy DAC The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
15C. Y. Roger Chen, Michael Z. Moricz Datapath Scheduling for Two-Level Pipelining. Search on Bibsonomy DAC The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
15David T. Barnard, David B. Skillicorn Pipelining Tree-Structured Algorithms on Simd Architectures. Search on Bibsonomy Inf. Process. Lett. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
15Pradeep K. Dubey, Michael J. Flynn Optimal Pipelining. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
15R. L. Bailey, Ravi Mukkamala Pipelining Data Compression Algorithms. Search on Bibsonomy Comput. J. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
15J. P. C. F. H. Smeets, Willem J. Withagen, M. P. J. Stevens Pipelining a memory based CISC processor. Search on Bibsonomy Microprocessing and Microprogramming The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
15Anurag Kumar 0001, Robert G. Cole Comparative Performance of Interleaved and Non-Interleaved Pipelining in LAPD Terminal Adaptors. Search on Bibsonomy INFOCOM The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
15Stefaan Note, Francky Catthoor, Gert Goossens, Hugo De Man Combined hardware selection and pipelining in high performance data-path design. Search on Bibsonomy ICCD The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
15 Pipelining through Dynamic Control ROM. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
15Hui Cheng Vector Pipelining, Chaining, and Speed on the IBM 3090 and Cray X-MP. Search on Bibsonomy Computer The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
15Keshab K. Parhi, David G. Messerschmitt Pipeline interleaving and parallelism in recursive digital filters. I. Pipelining using scattered look-ahead and decomposition. Search on Bibsonomy IEEE Trans. Acoust. Speech Signal Process. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
15J. A. K. S. Jayasinghe, O. E. Herrmann Two-level Pipelining of Systolic Array Graphics Engines. Search on Bibsonomy Advances in Computer Graphics Hardware The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
15Derek C. Wong, Giovanni De Micheli, Michael J. Flynn Inserting active delay elements to achieve wave pipelining. Search on Bibsonomy ICCAD The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
15Ajoy Kumar Datta, Sukumar Ghosh, Muhundan Gopalan, Ahmed K. Elmagarmid Pipelining and concurrency in graphics and image-processing applications. Search on Bibsonomy Comput. Syst. Sci. Eng. The full citation details ... 1988 DBLP  BibTeX  RDF
15Chung-Ta King, Lionel M. Ni Large-grain pipelining on hypercube multiprocessors. Search on Bibsonomy C³P The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
15Roger F. Woods, Simon C. Knowles, John V. McCanny, John G. McWhirter Systolic IIR filters with bit level pipelining. Search on Bibsonomy ICASSP The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
15Hidenori Kimura, T. Osada Canonical pipelining of lattice filters. Search on Bibsonomy IEEE Trans. Acoust. Speech Signal Process. The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
15Erik Sandewall The Pipelining Transformation on Plans for Manufacturing Cells with Robots. Search on Bibsonomy IJCAI The full citation details ... 1987 DBLP  BibTeX  RDF
15Guang R. Gao Maximum pipelining linear recurrence on static data flow computers. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
15H. V. Jagadish, Robert G. Mathews, Thomas Kailath, John A. Newkirk A Study of Pipelining in Computing Arrays. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
15Yvon Jégou, André Seznec Data Synchronized Pipeline Architecture: Pipelining in Multiprocessor Environments. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
15Stanley Y. W. Su, Krishna P. Mikkilineni, Raymond A. Liuzzi, Yuan-Chieh Chow A Distributed Query Processing Strategy Using Decomposition, Pipelining and Intermediate Result Sharing Techniques. Search on Bibsonomy ICDE The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
15Robert A. Mueller, Bogong Su, Michael R. Duda, Brian L. Plomondon A case study in signal processing microprogramming using the URPR software pipelining technique. Search on Bibsonomy MICRO The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
15Bogong Su, Shiyuan Ding, Jinshi Xia URPR - An extension of URCR for software pipelining. Search on Bibsonomy MICRO The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
15Mehdi Hatamian, Glenn L. Cash High speed signal processing, pipelining, and VLSI. Search on Bibsonomy ICASSP The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
15Yvon Jégou, André Seznec Data Synchronized Pipeline Architecture: Pipelining in Multiprocessor Environments. Search on Bibsonomy ICPP The full citation details ... 1986 DBLP  BibTeX  RDF
15Jaime H. Moreno, Tomás Lang Replication and Pipelining in Multiple-Instance Algorithms. Search on Bibsonomy ICPP The full citation details ... 1986 DBLP  BibTeX  RDF
15J. Dean Brock, Amos R. Omondi Shared Pipelines: Effective Pipelining in Multiprocessor Systems. Search on Bibsonomy ICPP The full citation details ... 1986 DBLP  BibTeX  RDF
15Steven R. Kunkel, James E. Smith 0001 Optimal Pipelining in Supercomputers. Search on Bibsonomy ISCA The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
15David M. Proulx Applications of pipelining to firmware. Search on Bibsonomy MICRO The full citation details ... 1984 DBLP  BibTeX  RDF
15Jack B. Dennis, Guang R. Gao Maximum Pipelining of Array Operations on Static Data Flow Machine. Search on Bibsonomy ICPP The full citation details ... 1983 DBLP  BibTeX  RDF
15Patrick V. McGregor Concentrator Modeling With Pipelining Arrivals Compensation. Search on Bibsonomy SIGMETRICS The full citation details ... 1982 DBLP  DOI  BibTeX  RDF
15Dennis Gannon Pipelining array computations for MIMD parallelism: a function specification. Search on Bibsonomy ICPP The full citation details ... 1982 DBLP  BibTeX  RDF
15J. Robert Jump, Sudhir Ahuja Effective Pipelining of Digital Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1978 DBLP  DOI  BibTeX  RDF
15P. Bruce Berra, Ashok K. Singhania A Multiple Associative Memory Organization for Pipelining a Directory to a Very Large Data Base - Abstract. Search on Bibsonomy SIGIR Forum The full citation details ... 1976 DBLP  DOI  BibTeX  RDF
15Joachim Swoboda Was ist pipelining? Search on Bibsonomy Elektron. Rechenanlagen The full citation details ... 1975 DBLP  DOI  BibTeX  RDF
15Leonard E. Shar, Edward S. Davidson A multiminiprocessor system implemented through pipelining. Search on Bibsonomy Computer The full citation details ... 1974 DBLP  DOI  BibTeX  RDF
15Jerome M. Kurtzberg, Raymond D. Villani A Balanced Pipelining Approach to Multiprocessing on an Instruction Stream Level. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1973 DBLP  DOI  BibTeX  RDF
15Wolfgang Händler The concept of Marco-Pipelining with high availability. Search on Bibsonomy Elektron. Rechenanlagen The full citation details ... 1973 DBLP  DOI  BibTeX  RDF
15Thomas G. Hallin, Michael J. Flynn Pipelining of Arithmetic Functions. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1972 DBLP  DOI  BibTeX  RDF
15Thomas G. Hallin, Michael J. Flynn Pipelining of arithmetic functions. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1972 DBLP  DOI  BibTeX  RDF
15Guangming Tan, Ninghui Sun, Guang R. Gao Improving Performance of Dynamic Programming via Parallelism and Locality on Multicore Architectures. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Ruben Gran Tejero, Enric Morancho, Àngel Olivé, José María Llabería On reducing misspeculations in a pipelined scheduler. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Paul M. Carpenter, Alex Ramírez, Eduard Ayguadé Mapping stream programs onto heterogeneous multiprocessor systems. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF partitioning, multicore, convexity, stream programming
15Zhenyu Liu 0001, Lingfeng Li, Yang Song 0002, Shen Li, Satoshi Goto, Takeshi Ikenaga Motion Feature and Hadamard Coefficient-Based Fast Multiple Reference Frame Motion Estimation for H.264. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Vamsi Vankamamidi, Marco Ottavi, Fabrizio Lombardi Two-Dimensional Schemes for Clocking/Timing of QCA Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Terrence S. T. Mak, Crescenzo D'Alessandro, N. Pete Sedcole, Peter Y. K. Cheung, Alexandre Yakovlev, Wayne Luk Implementation of Wave-Pipelined Interconnects in FPGAs. Search on Bibsonomy NOCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Hui Liu 0006, Zili Shao, Meng Wang 0005, Ping Chen Overhead-Aware System-Level Joint Energy and Performance Optimization for Streaming Applications on Multiprocessor Systems-on-Chip. Search on Bibsonomy ECRTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Jason Cong, Yi Zou Lithographic aerial image simulation with FPGA-based hardwareacceleration. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF co-processor acceleration, lithography simulation, FPGA
15Min Li 0001, David Novo, Bruno Bougard, Liesbet Van der Perre, Francky Catthoor Generic Multi-Phase Software-Pipelined Partial-FFT on Instruction-Level-Parallel Architectures and SDR Baseband Applications. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Miao Wang, Rongcai Zhao, Jianmin Pang, Guoming Cai Reconstructing Control Flow in Modulo Scheduled Loops. Search on Bibsonomy ACIS-ICIS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF register rotation, modulo scheduling, decompilation, predication execution, conditional branches
15Blaz Lampreht, Luka Stepancic, Igor Vizec, Bostjan Zankar, Miha Mraz, Iztok Lebar Bajec, Primoz Pecar Quantum-Dot Cellular Automata Serial Comparator. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Jingye Xu, Abinash Roy, Masud H. Chowdhury Optimization technique for flip-flop inserted global interconnect. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Michele Boreale, Roberto Bruni, Rocco De Nicola, Michele Loreti Sessions and Pipelines for Structured Service Programming. Search on Bibsonomy FMOODS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Michael Gschwind The Cell Broadband Engine: Exploiting Multiple Levels of Parallelism in a Chip Multiprocessor. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF compute-transfer parallelism, multi-level application parallelism, Chip multiprocessor, Cell Broadband Engine, heterogeneous chip multiprocessor
15Y. Yi, In-Cheol Park High-Speed H.264/AVC CABAC Decoding. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Love Singhal, Elaheh Bozorgzadeh, David Eppstein Interconnect Criticality-Driven Delay Relaxation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Xiaotong Zhuang, Santosh Pande Allocating architected registers through differential encoding. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF architected register, differential encoding, Register allocation
15Jonathan Ezekiel, Gerald Lüttgen, Gianfranco Ciardo Parallelising Symbolic State-Space Generators. Search on Bibsonomy CAV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Mathys Walma Pipelined Cyclic Redundancy Check (CRC) Calculation. Search on Bibsonomy ICCCN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Eric L. Hill, Mikko H. Lipasti Transparent mode flip-flops for collapsible pipelines. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Gorn Tepvorachai, Christos A. Papachristou A Configurable FIR Filter Scheme based on an Adaptive Multilayer Network Structure. Search on Bibsonomy AHS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Carolina Ribeiro Xavier, Rafael Sachetto Oliveira, Vinícius da Fonseca Vieira, Rodrigo Weber dos Santos, Wagner Meira Jr. Multi-level Parallelism in the Computational Modeling of the Heart. Search on Bibsonomy SBAC-PAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Bangyu Wu, Chi-Hung Chi, Zhe Chen Resource Allocation Based On Workflow For Enhancing the Performance of Composite Service. Search on Bibsonomy IEEE SCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Tung-Chien Chen, Chung-Jr Lian, Liang-Gee Chen Hardware architecture design of an H.264/AVC video codec. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Florian Stock, Andreas Koch 0001 Architecture Exploration and Tools for Pipelined Coarse-Grained Reconfigurable Arrays. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Suraj Pandey, Ho Seok Kim, Sang Hun Eo, Hae-Young Bae Systolic Query Processing for Aggregation in Sensor Networks. Search on Bibsonomy UIC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Samuel Rodríguez, Bruce L. Jacob Energy/power breakdown of pipelined nanometer caches (90nm/65nm/45nm/32nm). Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF nanometer design, pipelined caches, cache design
15Naga M. Kosaraju, Murali R. Varanasi, Saraju P. Mohanty A High-Performance VLSI Architecture for Advanced Encryption Standard (AES) Algorithm. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Lok-Kee Ting, Roger F. Woods, C. F. N. Cowan Virtex FPGA implementation of a pipelined adaptive LMS predictor for electronic support measures receivers. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Keshab K. Parhi Design of multigigabit multiplexer-loop-based decision feedback equalizers. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Stavros Harizopoulos, Vladislav Shkapenyuk, Anastassia Ailamaki QPipe: A Simultaneously Pipelined Relational Query Engine. Search on Bibsonomy SIGMOD Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Mehrdad Reshadi, Daniel Gajski A cycle-accurate compilation algorithm for custom pipelined datapaths. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF NISC, cycle-accurate compiler, scheduling
15Xiaotong Zhuang, Santosh Pande Differential register allocation. Search on Bibsonomy PLDI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF architected register, differential dncoding, register allocation
15Bita Gorjiara, Daniel D. Gajski Custom Processor Design Using NISC: A Case-Study on DCT algorithm. Search on Bibsonomy ESTIMedia The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Xiaoyao Liang, Akshay Athalye, Sangjin Hong Dynamic coarse grain dataflow reconfiguration technique for real-time systems design. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Moonseok Kang, Wonyong Sung Memory access overhead reduction for a digital color copier implementation using a VLIW digital signal processor. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Ting-An Lin, Sheng-Zen Wang, Tsu-Ming Liu, Chen-Yi Lee An H.264/AVC decoder with 4×4-block level pipeline. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Javier Zalamea, Josep Llosa, Eduard Ayguadé, Mateo Valero Register Constrained Modulo Scheduling. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Instruction level parallelism, register allocation, instruction scheduling, modulo scheduling, spill code
15Alireza Hodjat, Ingrid Verbauwhede Minimum Area Cost for a 30 to 70 Gbits/s AES Processor. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Magesh Sadasivam, Sangjin Hong Autonomous Buffer Controller Design for Concurrent Execution in Block Level Pipelined Dataflow. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
Displaying result #901 - #1000 of 1754 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license