The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for reconfigurable with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1971-1980 (17) 1981-1983 (19) 1984-1985 (19) 1986 (15) 1987 (18) 1988 (32) 1989 (25) 1990 (42) 1991 (49) 1992 (53) 1993 (70) 1994 (73) 1995 (112) 1996 (124) 1997 (132) 1998 (218) 1999 (234) 2000 (382) 2001 (285) 2002 (477) 2003 (561) 2004 (661) 2005 (789) 2006 (1067) 2007 (976) 2008 (1061) 2009 (868) 2010 (883) 2011 (832) 2012 (732) 2013 (802) 2014 (786) 2015 (791) 2016 (704) 2017 (752) 2018 (793) 2019 (806) 2020 (922) 2021 (1159) 2022 (1243) 2023 (1212) 2024 (305)
Publication types (Num. hits)
article(7338) book(22) data(1) incollection(68) inproceedings(13188) phdthesis(411) proceedings(73)
Venues (Conferences, Journals, ...)
CoRR(917) FPL(904) ReConFig(900) ARC(699) ACM Trans. Reconfigurable Tech...(505) ReCoSoC(411) IEEE Access(378) FCCM(372) ERSA(343) IPDPS(303) ISCAS(285) Int. J. Reconfigurable Comput.(268) DATE(253) IEEE Trans. Very Large Scale I...(225) FPT(222) FPGA(200) More (+10 of total 2266)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 5390 occurrences of 2060 keywords

Results
Found 21101 publication records. Showing 21101 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
21Proshanta Saha Automatic Software Hardware Co-Design for Reconfigurable Computing Systems. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Heiner Giefers, Marco Platzner A Many-core Implementation based on the Reconfigurable Mesh Model. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Jens Hagemeyer, Boris Kettelhoit, Markus Koester, Mario Porrmann A Design Methodology for Communication Infrastructures on Partially Reconfigurable FPGAs. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Kang Sun, Xuezeng Pan, Jimin Wang, Lingdi Ping PAD: A Design Space Exploration Model For Reconfigurable Systems. Search on Bibsonomy ITNG The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Ying Chen, Simon Y. Chen Cost-Driven Hybrid Configuration Prefetching for Partial Reconfigurable Coprocessor. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Florian Dittmann 0001, Marcelo Götz, Achim Rettberg Model and Methodology for the Synthesis of Heterogeneous and Partially Reconfigurable Systems. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Mingxian Jin, Johnnie W. Baker On the Power of the Multiple Associative Computing (MASC) Model Related to That of Reconfigurable Bus-Based Models. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Jim Tørresen, Kyrre Glette Improving Flexibility in On-Line Evolvable Systems by Reconfigurable Computing. Search on Bibsonomy ICES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Proshanta Saha, Tarek A. El-Ghazawi Software/Hardware Co-Scheduling for Reconfigurable Computing Systems. Search on Bibsonomy FCCM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Li Chia, Chi-Sheng Shih 0001 Template-based Runtime Reconfiguration Scheduling for Partial Reconfigurable SoC. Search on Bibsonomy RTCSA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Awni Itradat, M. Omair Ahmad, Ali M. Shatnawi Architectural Synthesis of DSP Applications with Dynamically Reconfigurable Functional Units. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Yang Qu, Kari Tiensyrjä, Juha-Pekka Soininen, Jari Nurmi System-Level Design for Partially Reconfigurable Hardware. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Wim Vanderbauwhede Separation of Data flow and Control flow in Reconfigurable Multi-core SoCs using the Gannet Service-based Architecture. Search on Bibsonomy AHS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Björn Osterloh, Harald Michalik, Björn Fiethe, Frank Bubenhagen Enhancements of reconfigurable System-on-Chip Data Processing Units for Space Application. Search on Bibsonomy AHS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Richard Hough, Praveen Krishnamurthy, Roger D. Chamberlain, Ron K. Cytron, John W. Lockwood, Jason E. Fritts Empirical performance assessment using soft-core processors on reconfigurable hardware. Search on Bibsonomy Experimental Computer Science The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Vincenzo Grassi, Raffaela Mirandola, Antonino Sabetta A model-driven approach to performability analysis of dynamically reconfigurable component-based systems. Search on Bibsonomy WOSP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF performability, model-driven development, dynamic reconfiguration
21Sohaib Majzoub, Hassan B. Diab Mapping and Performance Analysis of Lookup Table Implementations on Reconfigurable Platform. Search on Bibsonomy AICCSA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21K. N. Vikram, Vinita Vasudevan Mapping Data-Parallel Tasks Onto Partially Reconfigurable Hybrid Processor Architectures. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Juanjo Noguera, Rosa M. Badia System-level power-performance tradeoffs for reconfigurable computing. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Miljan Vuletic, Laura Pozzi, Paolo Ienne Virtual memory window for application-specific reconfigurable coprocessors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Miguel A. Vega-Rodríguez, Juan Antonio Gómez Pulido, Juan Manuel Sánchez-Pérez, José M. Granado Criado, Manuel Rubio del Solar Reconfigurable Computing and Parallelism for Implementing and Accelerating Evolutionary Algorithms. Search on Bibsonomy Parallel Evolutionary Computations The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Leipo Yan, Thambipillai Srikanthan, Niu Gang Area and delay estimation for FPGA implementation of coarse-grained reconfigurable architectures. Search on Bibsonomy LCTES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF CGRA, VLIW, hardware/software partitioning, delay estimation, area estimation
21Didier Bourse, Karim El-Khazen, Al Lee, Dragan Boscovic Business Models of End-to-End Reconfigurable Systems. Search on Bibsonomy VTC Spring The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Ying Yi, Ioannis Nousias, Mark Milward, Sami Khawam, Tughrul Arslan, Iain Lindsay System-level scheduling on instruction cell based reconfigurable systems. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Ying Wei 0002, Hua Tang, Alex Doboli Systematic methodology for designing reconfigurable Delta-Sigma modulator topologies for multimode communication systems. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Pao-Ann Hsiung, Chun-Hsian Huang, Chih-Feng Liao Perfecto: A Systemc-Based Performance Evaluation Framework for Dynamically Partially Reconfigurable Systems. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Arnaud Lagger, Andres Upegui, Eduardo Sanchez, Iván González Self-Reconfigurable Pervasive Platform for Cryptographic Application. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Hayden Kwok-Hay So, Robert W. Brodersen Improving Usability of FPGA-Based Reconfigurable Computers Through Operating System Support. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Kentaro Nakahara, Shin'ichi Kouyama, Tomonori Izumi, Hiroyuki Ochi, Yukihiro Nakamura Fault Tolerant Reconfigurable Device Based on Autonomous-Repair Cells. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Romanelli Lodron Zuim, José T. de Sousa, Claudionor José Nunes Coelho Jr. A fast SAT solver algorithm best suited to reconfigurable hardware. Search on Bibsonomy SBCCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF formal verification, SAT, CNF, DPLL
21Milene Barbosa Carvalho, Luís F. W. Góes, Carlos Augusto Paiva da Silva Martins Dynamically reconfigurable cache architecture using adaptive block allocation policy. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Sebastian Lange, Martin Middendorf Multi-level reconfigurable architectures in the switch model. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Mohamed Taher, Tarek A. El-Ghazawi Exploiting processing locality through paging configurations in multitasked reconfigurable systems. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Farhad Mehdipour, Morteza Saheb Zamani, Hamid Reza Ahmadifar, Mehdi Sedighi, Kazuaki J. Murakami Reducing reconfiguration time of reconfigurable computing systems in integrated temporal partitioning and physical design framework. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Minoru Watanabe, Mototsugu Miyano, Fuminori Kobayashi An optically differential reconfigurable gate array with a holographic memory. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Sascha Uhrig, Stefan Maier, Georgi Kuzmanov, Theo Ungerer Coupling of a reconfigurable architecture and a multithreaded processor core with integrated real-time scheduling. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Qin Wang 0004, Ang Li, Zhancai Li, Yong Wan A Design and Implementation of Reconfigurable Architecture for Neural Networks Based on Systolic Arrays. Search on Bibsonomy ISNN (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21John H. Reif, Sam Slee Asymptotically Optimal Kinodynamic Motion Planning for Self-reconfigurable Robots. Search on Bibsonomy WAFR The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Björn Griese, Boris Kettelhoit, Mario Porrmann Evaluation of On-Chip Interfaces for Dynamically Reconfigurable Coprocessors. Search on Bibsonomy PARELEC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Kang Sun, Lingdi Ping, Jiebing Wang, Zugen Liu, Xuezeng Pan Design of a Reconfigurable Cryptographic Engine. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Hanho Lee, Chang-Seok Choi Implementation of a FIR Filter on a Partial Reconfigurable Platform. Search on Bibsonomy KES (3) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Rawat Siripokarpirom Platform Development for Run-Time Reconfigurable Co-Emulation. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Lukás Sekanina On dependability of FPGA-based evolvable hardware systems that utilize virtual reconfigurable circuits. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FPGA, evolutionary algorithms, dependability, evolvable hardware
21Claudio Mucci, Massimo Bocchi, Mario Toma, Fabio Campi A case-study on multimedia applications for the XiRisc reconfigurable processor. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Evangelos F. Stefatos, I. Bravos, Tughrul Arslan Low-power implementation of FIR filters within an adaptive reconfigurable architecture. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Franklin Bien, Youngsik Hur, Moonkyun Maeng, Hyoungsoo Kim, Edward Gebara, Joy Laskar A reconfigurable fully-integrated 0.18µm CMOS feed forward equalizer IC for 10-Gb/sec backplane links. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Tughrul Arslan, Nakul Haridas, Erfu Yang, Ahmet T. Erdogan, Nick Barton, Anthony J. Walton, John S. Thompson, Adrian Stoica, Tanya Vladimirova, Klaus D. McDonald-Maier, W. Gareth J. Howells ESPACENET: A Framework of Evolvable and Reconfigurable Sensor Networks for Aerospace-Based Monitoring and Diagnostics. Search on Bibsonomy AHS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Raphael Fonte Boa, Dulcinéia Oliveira da Penha, Alexandre Marques Amaral, Márcio Oliveira Soares de Souza, Carlos Augusto Paiva da Silva Martins, Petr Yakovlevitch Ekel RCMP: A Reconfigurable Chip-Multiprocessor Architecture. Search on Bibsonomy ISPA Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Ambrose Chu, Mihai Sima Reconfigurable RSA Cryptography for Embedded Devices. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Ted Huffmire, Shreyas Prasad, Timothy Sherwood, Ryan Kastner Policy-Driven Memory Protection for Reconfigurable Hardware. Search on Bibsonomy ESORICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Embedded Systems, Computer Security, Security Policies, Policy Languages, Reference Monitors, Separation Kernels
21Kang Sun, Xuezeng Pan, Jiebing Wang, Jimin Wang Design of A Novel Asynchronous Reconfigurable Architecture for Cryptographic Applications. Search on Bibsonomy IMSCCS (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Kentaro Oda, Hiroki Najima, Yoshihiro Yasutake, Takaichi Yoshida A Simple, Safe Reconfigurable Object Model with Loosely-Coupled Communication. Search on Bibsonomy AINA (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Stefan Mohl Innovative technologies I - Using FPGAs in supercomputers: breaking with reconfigurable computing. Search on Bibsonomy SC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Tarek A. El-Ghazawi, Duncan A. Buell, Volodymyr V. Kindratenko, Kris Gaj M03 - Reconfigurable supercomputing. Search on Bibsonomy SC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Ben Cordes, Miriam Leeser, Eric L. Miller 0001, Richard W. Linderman Poster reception - Improving the performance of parallel backprojection on a reconfigurable supercomputer. Search on Bibsonomy SC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Nahri Moreano, Edson Borin, Cid C. de Souza, Guido Araujo Efficient datapath merging for partially reconfigurable architectures. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Alberto La Rosa, Luciano Lavagno, Claudio Passerone Implementation of a UMTS turbo decoder on a dynamically reconfigurable platform. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Pascal Benoit, Lionel Torres, Gilles Sassatelli, Michel Robert, Gaston Cambon Dynamic hardware multiplexing for coarse grain reconfigurable architectures. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Michael Attig, John W. Lockwood A framework for rule processing in reconfigurable network systems (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Benoît Miramond, Jean-Marc Delosme Design Space Exploration for Dynamically Reconfigurable Architectures. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Yang Qu, Kari Tiensyrjä, Juha-Pekka Soininen SystemC-based Design Methodology for Reconfigurable System-on-Chip. Search on Bibsonomy DSD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Fredy Rivera, Milagros Fernández, Nader Bagherzadeh An Approach to Execute Conditional Branches onto SIMD Multi-Context Reconfigurable Architectures. Search on Bibsonomy DSD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21John C. Gallagher, Sanjay K. Boddhu, Saranyan A. Vigraham A reconfigurable continuous time recurrent neural network for evolvable hardware applications. Search on Bibsonomy Congress on Evolutionary Computation The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Vivek Garg, Vikram Chandrasekhar, Milagros Sashikánth, V. Kamakoti 0001 A function generator-based reconfigurable system. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Carles Riba Romeva, Roberto Pérez, A. Jorge L. Sánchez, María D. Domínguez, Joaquín Aca, G. Arturo Molina A Concurrent Approach to Design of Reconfigurable Machine Tools to Process Bamboo. Search on Bibsonomy CDVE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Bamboo, Reconfigurability, Machine Tool, Concurrent Design
21Timothy O'Sullivan, Richard Studdert Handheld Medical Devices Negotiating for Reconfigurable Resources Using Agents. Search on Bibsonomy CBMS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Pascal Benoit, Lionel Torres, Gilles Sassatelli, Michel Robert, Gaston Cambon Automatic Task Scheduling / Loop Unrolling using Dedicated RTR Controllers in Coarse Grain Reconfigurable Architectures. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Evangelos F. Stefatos, Wei Han 0001, Tughrul Arslan, Robert Thomson 0003 Low-Power Reconfigurable VLSI Architecture for the Implementation of FIR Filters. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Melissa C. Smith, Jeffrey S. Vetter, Xuejun Liang Accelerating Scientific Applications with the SRC-6 Reconfigurable Computer: Methodologies and Analysis. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Remy Eskinazi Sant'Anna, Manoel Eusébio de Lima, Paulo Romero Martins Maciel, Carlos A. Valderrama, Abel Guilhermino Silva-Filho, Paulo Sérgio B. do Nascimento A Timed Petri Net Approach for Pre-Runtime Scheduling in Partial and Dynamic Reconfigurable Systems. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Imran Ahmed 0001, Tughrul Arslan, Sajid Baloch, Ian Underwood, Robin Woodburn Domain Specific Reconfigurable Architecture of Turbo Decoder Optimized for Short Distance Wireless Communication. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Christiane V. Pousa, Luís F. W. Góes, Dulcinéia Oliveira da Penha, Carlos Augusto Paiva da Silva Martins Reconfigurable Sequential Consistency Algorithm. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Pradeep Nalabalapu, Ron Sass Bandwidth Management with a Reconfigurable Data Cache. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Christiane V. Pousa, Luís F. W. Góes, Carlos Augusto Paiva da Silva Martins Reconfigurable Object Consistency Model. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Makoto Okada, Tatsuo Hiramatsu, Hiroshi Nakajima, Makoto Ozone, Katsunori Hirase, Shinji Kimura A Reconfigurable Processor Based on ALU Array Architecture with Limitation on the Interconnection. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Christophe Bobda Building Up a Course in Reconfigurable Computing. Search on Bibsonomy MSE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Michalis D. Galanis, Grigoris Dimitroulakos, Costas E. Goutis Accelerating Applications by Mapping Critical Kernels on Coarse-Grain Reconfigurable Hardware in Hybrid Systems. Search on Bibsonomy FCCM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Chuan He, Wei Zhao 0001, Mi Lu Time Domain Numerical Simulation for Transient Waves on Reconfigurable Coprocessor Platform. Search on Bibsonomy FCCM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Michael Attig, John W. Lockwood A Framework for Rule Processing in Reconfigurable Network Systems. Search on Bibsonomy FCCM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Fang Wang, Zeng-Guang Hou, De Xu, Min Tan 0001 An Agent-Based Holonic Architecture for Reconfigurable Manufacturing Systems. Search on Bibsonomy ICNC (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Ning Li, Jianchu Kang, Weifeng Lv A Hybrid Approach for Dynamic Business Process Mining Based On Reconfigurable Nets and Event Types. Search on Bibsonomy ICEBE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Chi-Sheng Shih 0001, Chia-Lin Yang, Mong-Kai Ku, Tei-Wei Kuo, Shao-Yi Chien, Yao-Wen Chang, Liang-Gee Chen Reconfigurable Platform for Content Science Research. Search on Bibsonomy RTCSA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Jih-Ching Chiu, Ren-Bang Lin FMRPU: Design of Fine-Grain Multi-context Reconfigurable Processing Unit. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Siew Kei Lam, Yun Deng, Thambipillai Srikanthan Morphable Structures for Reconfigurable Instruction Set Processors. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Christiane V. Pousa, Luís F. W. Góes, Carlos Augusto Paiva da Silva Martins Reconfigurable consistency model for object-based software DSM. Search on Bibsonomy CCGRID The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Chris Sullivan, Alex Wilson, Stephen P. G. Chappell Deterministic Hardware Synthesis for Compiling High-Level Descriptions to Heterogeneous Reconfigurable Architectures. Search on Bibsonomy HICSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Grigoris Dimitroulakos, Michalis D. Galanis, Costas E. Goutis Alleviating the Data Memory Bandwidth Bottleneck in Coarse-Grained Reconfigurable Arrays. Search on Bibsonomy ASAP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Christiane V. Pousa, Luís F. W. Góes, Carlos Augusto Paiva da Silva Martins Reconfigurable Object Consistency Model for Distributed Shared Memory. Search on Bibsonomy ISPA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Ling Zhuo, Viktor K. Prasanna High Performance Linear Algebra Operations on Reconfigurable Systems. Search on Bibsonomy SC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21John C. Gallagher, Sanjay K. Boddhu, Saranyan A. Vigraham A Reconfigurable Continuous Time Recurrent Neural Network for Evolvable Hardware Applications. Search on Bibsonomy Evolvable Hardware The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Lei Li, Zheng Cao, Mingyu Chen 0001, Jianping Fan 0002 A Reconfigurable Optical Interconnect System for DSAG. Search on Bibsonomy PDCAT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21João M. P. Cardoso Self-loop Pipelining and Reconfigurable Dataflow Arrays. Search on Bibsonomy SAMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Paul White, K. Kopanski, Hod Lipson Stochastic Self-reconfigurable Cellular Robotics. Search on Bibsonomy ICRA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Michalis D. Galanis, George Theodoridis, Spyros Tragoudas, Dimitrios Soudris, Constantinos E. Goutis A novel coarse-grain reconfigurable data-path for accelerating DSP kernels. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Sashisu Bajracharya, Chang Shu 0003, Kris Gaj, Tarek A. El-Ghazawi Implementation of elliptic curve cryptosystems over GF(2n) in optimal normal basis on a reconfigurable computer. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Magesh Sadasivam, Sangjin Hong Dynamically reconfigurable architecture for high-throughput processing of data centric applications. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Marcos R. Boschetti, Ivan Saraiva Silva, Sergio Bampi A Run-Time Reconfigurable Datapath Architecture for Image Processing Applications. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Miljan Vuletic, Ludovic Righetti, Laura Pozzi, Paolo Ienne Operating System Support for Interface Virtualisation of Reconfigurable Coprocessors. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Roberto Pérez, Joaquín Aca, Andrés Valverde T., Horacio Ahuett, Arturo Molina 0001, Carles Riba Romeva A Modularity Framework for Concurrent Design of Reconfigurable Machine Tools. Search on Bibsonomy CDVE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Modularity, Reconfigurability, Machine Tool, Concurrent Design
21Ray C. C. Cheung A System on Chip Design Framework for Prime Number Validation Using Reconfigurable Hardware. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
Displaying result #901 - #1000 of 21101 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license