The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for scan with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1959-1969 (15) 1970-1976 (15) 1977-1979 (18) 1980-1981 (16) 1982-1983 (15) 1984-1985 (22) 1986 (19) 1987 (23) 1988 (26) 1989 (42) 1990 (48) 1991 (58) 1992 (71) 1993 (76) 1994 (82) 1995 (119) 1996 (105) 1997 (124) 1998 (148) 1999 (156) 2000 (135) 2001 (171) 2002 (262) 2003 (287) 2004 (377) 2005 (394) 2006 (452) 2007 (487) 2008 (471) 2009 (338) 2010 (217) 2011 (183) 2012 (184) 2013 (186) 2014 (202) 2015 (173) 2016 (181) 2017 (238) 2018 (236) 2019 (222) 2020 (235) 2021 (287) 2022 (268) 2023 (286) 2024 (69)
Publication types (Num. hits)
article(2768) book(2) data(1) incollection(30) inproceedings(4908) phdthesis(28) proceedings(2)
Venues (Conferences, Journals, ...)
ITC(391) CoRR(262) VTS(210) IEEE Trans. Comput. Aided Des....(199) Asian Test Symposium(178) J. Electron. Test.(149) DATE(95) IEEE Trans. Very Large Scale I...(87) ATS(85) IROS(83) ICRA(80) DAC(79) VLSI Design(72) IEEE Trans. Computers(69) Sensors(69) DFT(68) More (+10 of total 1786)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3962 occurrences of 1873 keywords

Results
Found 7741 publication records. Showing 7739 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
20Mohsen Nahvi, André Ivanov, Resve A. Saleh Dedicated Autonomous Scan-Based Testing (DAST) for Embedded Cores. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Yun Shao 0002, Irith Pomeranz, Sudhakar M. Reddy Path Delay Fault Test Generation for Standard Scan Designs Using State Tuples. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Anshuman Chandra, Krishnendu Chakrabarty Reduction of SOC test data volume, scan power and testing time using alternating run-length codes. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Nur A. Touba, Edward J. McCluskey Bit-fixing in pseudorandom sequences for scan BIST. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
20Kamran Zarrineh, Shambhu J. Upadhyaya, Vivek Chickermane System-on-Chip Testability Using LSSD Scan Structures. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
20K. Tokoi, T. Kitahashi An Improved Scan-Line Algorithm for Display of CSG Models. Search on Bibsonomy IV The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
20Toshinori Hosokawa, Masayoshi Yoshimura, Mitsuyasu Ohta Design for testability strategies using full/partial scan designs and test point insertions to reduce test application times. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
20Shi-Yu Huang Towards the logic defect diagnosis for partial-scan designs. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
20Guna Seetharaman, Samia Bouchafa, Bertrand Y. Zavidovique Concurrent Edge/Region Detection from a Peano Scan. Search on Bibsonomy ICIAP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
20Stefan Gerstendörfer, Hans-Joachim Wunderlich Minimized Power Consumption for Scan-Based BIST. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF build-in self-test (BIST), power consumption
20Alan A. Bertossi, Alessandro Mei Optimal Segmented Scan and Simulation of Reconfigurable Architectures on Fixed Connection Networks. Search on Bibsonomy HiPC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
20Ismet Bayraktaroglu, Alex Orailoglu Improved fault diagnosis in scan-based BIST via superposition. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
20Yuejian Wu, Saman Adham Scan-based BIST fault diagnosis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
20Afonso Ferreira, Stéphane Ubéda Computing the Medial Axis Transform in Parallel With Eight Scan Operations. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF BSP algorithms, medial axis transform, parallel prefix, Parallel image processing
20Mike Wondolowski, Ben Bennetts, Adam W. Ley Boundary Scan: The Internet of Test. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
20Tomoya Takasaki, Hideo Fujiwara, Tomoo Inoue A High-Level Synthesis Approach to Partial Scan Design Based on Acyclic Structure. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
20Mark Vesterbacka A robust differential scan flip-flop. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
20Irith Pomeranz, Sudhakar M. Reddy PASTA: Partial Scan to Enhance Test Compaction. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
20Josef Schmid, Joachim Knäblein Advanced Synchronous Scan Test Methodology for Multi Clock Domain ASICs. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
20Huan-Chih Tsai, Kwang-Ting Cheng, Chih-Jen Lin, Sudipta Bhawmik Efficient test-point selection for scan-based BIST. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
20Mehrdad Soumekh Multiresolution dynamic image representation with uniform and foveal spiral scan data. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
20Fulvio Corno, Paolo Prinetto, Matteo Sonza Reorda, Massimo Violante Exploiting Symbolic Techniques for Partial Scan Flip Flop Selection. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
20Ilker Hamzaoglu, Janak H. Patel Compact two-pattern test set generation for combinational and full scan circuits. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
20Bulent I. Dervisoglu, Mike Ricchetti, William Eklow Shared I/O-cell structures: a framework for extending the IEEE 1149.1 boundary-scan standard. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
20Kanad Chakraborty, Pinaki Mazumder A programmable boundary scan technique for board-level, parallel functional duplex march testing of word-oriented multiport static RAMs. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
20Sudipta Bhawmik, Indradeep Ghosh A Practical Method for Selecting Partial Scan Flip-flops for Large Circuits. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
20Nasir D. Memon, Khalid Sayood Scan predictive vector quantization of multispectral images. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
20N. Parker Willis, Yoram Bresler Optimal scan for time-varying tomography. II. Efficient design and experimental validation. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
20Margaret Reid-Miller List Ranking and List Scan on the Cray C-90. Search on Bibsonomy SPAA The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
20Bulent I. Dervisoglu Boundary-Scan Update: IEEE P1149.2 Description and Status Report. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
20Yannis Manolopoulos, John G. Kollias Performance of a Two-Headed Disk System when Serving Database Queries Under the Scan Policy. Search on Bibsonomy ACM Trans. Database Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
20Thomas W. Sederberg, Alan K. Zundel Scan line display of algebraic surfaces. Search on Bibsonomy SIGGRAPH The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
19Weizheng Wang, Jinhai Chen, Xianmin Pan A secure scan architecture using dynamic key to thwart scan-based side-channel attacks. Search on Bibsonomy Microelectron. J. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
19Advaith Venkatramanan Sethuraman, Philip D. Baldoni, Katherine A. Skinner, James McMahon Learning Which Side to Scan: Multi-View Informed Active Perception with Side Scan Sonar for Autonomous Underwater Vehicles. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
19Nuno Abreu, Andry Maykol Pinto, Aníbal Matos, Miguel Pires Procedural Point Cloud Modelling in Scan-to-BIM and Scan-vs-BIM Applications: A Review. Search on Bibsonomy ISPRS Int. J. Geo Inf. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Alexandros Filotheou, Andreas L. Symeonidis, Georgios D. Sergiadis, Antonis G. Dimitriou Correspondenceless scan-to-map-scan matching of 2D panoramic range scans. Search on Bibsonomy Array The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Sunghoon Kim, Seokjun Jang, Sungho Kang 0001 Scan Chain Architecture With Data Duplication for Multiple Scan Cell Fault Diagnosis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Salman Ul Hassan Dar, Saban Öztürk, Muzaffer Özbey, Kader Karli Oguz, Tolga Çukur Parallel-stream fusion of scan-specific and scan-general priors for learning deep MRI reconstruction in low-data regimes. Search on Bibsonomy Comput. Biol. Medicine The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Kota Yoshida, Masaya Hojo, Takeshi Fujino Adversarial Scan Attack against Scan Matching Algorithm for Pose Estimation in LiDAR-Based SLAM. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Emily P. Hedges, Mihail Dimitrov, Uzma Zahid, Barbara Brito Vega, Shuqing Si, Hannah Dickson, Philip K. McGuire, Steven Williams 0001, Gareth J. Barker, Matthew J. Kempton Reliability of structural MRI measurements: The effects of scan session, head tilt, inter-scan interval, acquisition sequence, FreeSurfer version and processing stream. Search on Bibsonomy NeuroImage The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Hyeonchan Lim, Hyojoon Yun, Sungho Kang 0001 Scan Cell Modification for Intra Cell-Aware Scan Chain Diagnosis. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Alexandros Filotheou Correspondenceless scan-to-map-scan matching of homoriented 2D scans for mobile robot localisation. Search on Bibsonomy Robotics Auton. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Privender Saini, Chelsey Koehn, Annerieke Heuvelink, Özgür Tasar, Elizabeth van Vorstenbosch-Lynn, Sanne Nauts, Andrew T. Trout Scan Buddy: A Gamified App to Prepare Children for an MRI Scan. Search on Bibsonomy HCI (1) The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Kenta Suzuki, Takuya Kurihara, Kazuto Yano, Yoshinori Suzuki A Study on Estimation of Retransmission Rate of Background Traffic for Various Scan Rates with Scan Response Delay. Search on Bibsonomy ICACT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Hikaru Kihara, Makoto Kumon, Kei Nakatsuma, Tomonari Furukawa Fast Scan Context Matching for Omnidirectional 3D Scan. Search on Bibsonomy IROS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Mengqiang Lu, Aijiao Cui, Yan Shao, Gang Qu 0001 A Memristor-based Secure Scan Design against the Scan-based Side-Channel Attacks. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Sunghoon Kim, Seokjun Jang, Youngki Moon, Sungho Kang Pair-Grouping Scan Chain Architecture for Multiple Scan Cell Fault Diagnosis. Search on Bibsonomy ISOCC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Alexandros Filotheou Correspondenceless scan-to-map-scan matching of homoriented 2D scans for mobile robot localisation. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
19Sangjun Lee, Kyunghwan Cho, Jihye Kim, Jongho Park, Inhwan Lee, Sungho Kang 0001 Low-Power Scan Correlation-Aware Scan Cluster Reordering for Wireless Sensor Networks. Search on Bibsonomy Sensors The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Kenta Suzuki, Takuya Kurihara, Kazuto Yano, Yoshinori Suzuki A Study on Estimation of Retransmission Rate of Background Traffic for Various Scan Rates with Scan Response Delay. Search on Bibsonomy ICACT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Steffen Haas, Florian Wilkens, Mathias Fischer 0001 Scan Correlation - Revealing distributed scan campaigns. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
19Youngchan Song, Jeongjin Lee, Yeong-Gil Shin, DongJoon Kim Confidence Surface-Based Fine Matching Between Dental Cone-Beam Computed Tomography Scan and Optical Surface Scan Data. Search on Bibsonomy J. Medical Imaging Health Informatics The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Steffen Haas, Florian Wilkens, Mathias Fischer 0001 Scan Correlation - Revealing distributed scan campaigns. Search on Bibsonomy NOMS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Srisubha Kalanadhabhatta, Kiran Kumar Anumandla, S. Saqib Khursheed, Amit Acharyya Secure Scan Design with a Novel Methodology of Scan Camouflaging. Search on Bibsonomy ECCTD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Jen-Cheng Ying, Wang-Dauh Tseng, Wen-Jiin Tsai Multi-Scan Architecture with Scan Chain Disabling Technique for Capture Power Reduction. Search on Bibsonomy J. Inf. Sci. Eng. The full citation details ... 2019 DBLP  BibTeX  RDF
19Wanchun Li, Yingxiang Li, Ping Wei 0002, Heng-Ming Tai A Closed-Form Localization Algorithm Using Angle-of-Arrival and Difference Time of Scan Time Measurements in Scan-Based Radar. Search on Bibsonomy IEEE Trans. Aerosp. Electron. Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Shih-An Hsieh, Ying-Hsu Wang, Ting-Yu Shen, Kuan-Yen Huang, Chia-Cheng Pai, Tsai-Chieh Chen, James Chien-Mo Li DR-Scan: Dual-Rail Asynchronous Scan DfT and ATPG. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Mathieu Da Silva, Marie-Lise Flottes, Giorgio Di Natale, Bruno Rouzeyre Preventing Scan Attacks on Secure Circuits Through Scan Chain Encryption. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Yiqun Xie, Shashi Shekhar A Nondeterministic Normalization based Scan Statistic (NN-scan) towards Robust Hotspot Detection: A Summary of Results. Search on Bibsonomy SDM The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
19Daisuke Oku, Masao Yanagisawa, Nozomu Togawa Scan-based Side-channel Attack against HMAC-SHA-256 Circuits Based on Isolating Bit-transition Groups Using Scan Signatures. Search on Bibsonomy IPSJ Trans. Syst. LSI Des. Methodol. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Jonghwan Chung, Junhyun Park, Chong-kwon Kim, Jaehyuk Choi 0002 C-SCAN: Wi-Fi Scan Offloading via Collocated Low-Power Radios. Search on Bibsonomy IEEE Internet Things J. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Irith Pomeranz Improving the Diagnosability of Scan Chain Faults Under Transparent-Scan by Observation Points. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Pralhadrao V. Shantagiri, Rohit Kapur Handling Unknown with Blend of Scan and Scan Compression. Search on Bibsonomy J. Electron. Test. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Sungyoul Seo, Keewon Cho, Young-Woo Lee, Sungho Kang 0001 A Statistic-Based Scan Chain Reordering for Energy-Quality Scalable Scan Test. Search on Bibsonomy IEEE J. Emerg. Sel. Topics Circuits Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Chia-Chi Wu, Man-Hsuan Kuo, Kuen-Jong Lee A Dynamic-Key Secure Scan Structure Against Scan-Based Side Channel and Memory Cold Boot Attacks. Search on Bibsonomy ATS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Yucong Zhang, Xiaoqing Wen, Stefan Holst, Kohei Miyase, Seiji Kajihara, Hans-Joachim Wunderlich, Jun Qian Clock-Skew-Aware Scan Chain Grouping for Mitigating Shift Timing Failures in Low-Power Scan Testing. Search on Bibsonomy ATS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Xi Chen, Zhaojun Lu, Gang Qu 0001, Aijiao Cui Partial Scan Design Against Scan-Based Side Channel Attacks. Search on Bibsonomy TrustCom/BigDataSE The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Hyeonchan Lim, Seokjun Jang, Sungho Kang A Software-based Scan Chain Diagnosis for Double Faults in A Scan Chain. Search on Bibsonomy ISOCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
19Namom Alencar, Angelo Brayner, José de Aguiar Moraes Filho, Hamilton Lopes DaC scan: a novel scan operator for exploiting SSD internal parallelism. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19Mahshid Mojtabavi Naeini, Sreedharan Baskara Dass, Chia Yee Ooi, Tomokazu Yoneda, Michiko Inoue An integrated DFT solution for power reduction in scan test applications by low power gating scan cell. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19Irith Pomeranz Generation of Transparent-Scan Sequences for Diagnosis of Scan Chain Faults. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19Mark de Berg, Ade Gunawan, Marcel Roeloffzen Faster DB-scan and HDB-scan in Low-Dimensional Euclidean Spaces. Search on Bibsonomy CoRR The full citation details ... 2017 DBLP  BibTeX  RDF
19Aijiao Cui, Yanhui Luo, Chip-Hong Chang Static and Dynamic Obfuscations of Scan Data Against Scan-Based Side-Channel Attacks. Search on Bibsonomy IEEE Trans. Inf. Forensics Secur. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19Wei Zhou, Aijiao Cui, Huawei Li 0001, Gang Qu 0001 How to Secure Scan Design Against Scan-Based Side-Channel Attacks? Search on Bibsonomy ATS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19Irith Pomeranz Compaction of a Transparent-Scan Sequence to Reduce the Fail Data Volume for Scan Chain Faults. Search on Bibsonomy ATS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19Satyadev Ahlawat, Darshit Vaghani, Jaynarayan T. Tudu, Virendra Singh On Securing Scan Design from Scan-Based Side-Channel Attacks. Search on Bibsonomy ATS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19Kimiaki Inui, Masahide Morikawa, Masafumi Hashimoto, Kazuhiko Takahashi Distortion Correction of Laser Scan Data from In-vehicle Laser Scanner based on Kalman Filter and NDT Scan Matching. Search on Bibsonomy ICINCO (2) The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19Irith Pomeranz Fail data reduction for diagnosis of scan chain faults under transparent-scan. Search on Bibsonomy VTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19Dongrong Zhang, Miao Tony He, Xiaoxiao Wang 0001, Mark M. Tehranipoor Dynamically obfuscated scan for protecting IPs against scan-based attacks throughout supply chain. Search on Bibsonomy VTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19Yan Dong, Grady Giles, GuoLiang Li, Jeff Rearick, John Schulze, James Wingfield, Tim Wood 0001 Maximizing scan pin and bandwidth utilization with a scan routing fabric. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19W. Pradeep, P. Narayanan, R. Mittal, N. Maheshwari, N. Naresh Frequency scaled segmented (FSS) scan architecture for optimized scan-shift power and faster test application time. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19Igor Aleksejev, Sergei Devadze, Artur Jutman, Konstantin Shibin Optimization of Boundary Scan Tests Using FPGA-Based Efficient Scan Architectures. Search on Bibsonomy J. Electron. Test. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Anas Al-Nuaimi, Wilder Bezerra Lopes, Paul Zeller, Adrian Garcea, Cássio Guimarães Lopes, Eckehard G. Steinbach Analyzing LiDAR scan skewing and its impact on scan matching. Search on Bibsonomy IPIN The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Satyadev Ahlawat, Jaynarayan T. Tudu, Anzhela Yu. Matrosova, Virendra Singh A high performance scan flip-flop design for serial and mixed mode scan test. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
19Mahshid Mojtabavi Naeini, Chia Yee Ooi A Novel Scan Architecture for Low Power Scan-Based Testing. Search on Bibsonomy VLSI Design The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Huiqian Jiang, Mika Fujishiro, Hirokazu Kodera, Masao Yanagisawa, Nozomu Togawa Scan-Based Side-Channel Attack on the Camellia Block Cipher Using Scan Signatures. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Sk Subidh Ali, Samah Mohamed Saeed, Ozgur Sinanoglu, Ramesh Karri Novel Test-Mode-Only Scan Attack and Countermeasure for Compression-Based Scan Architectures. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Taekjun Oh, Donghwa Lee, Hyungjin Kim, Hyun Myung Graph Structure-Based Simultaneous Localization and Mapping Using a Hybrid Method of 2D Laser Scan and Monocular Camera Image in Environments with Laser Scan Ambiguity. Search on Bibsonomy Sensors The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Timothy Casey, Gabriel-Miro Muntean Scan-Or-Not-to-Scan - balancing network selection accuracy and energy consumption. Search on Bibsonomy IWCMC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Josef Zuk, Luke Rosenberg Efficient FFT method for modelling performance of radars with scan-to-scan feedback integration. Search on Bibsonomy ICASSP The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Sungyoul Seo, Yong Lee 0002, Hyeonchan Lim, Joohwan Lee, Hongbom Yoo, Yojoung Kim, Sungho Kang 0001 Scan Chain Reordering-Aware X-Filling and Stitching for Scan Shift Power Reduction. Search on Bibsonomy ATS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Satyadev Ahlawat, Jaynarayan T. Tudu, Anzhela Yu. Matrosova, Virendra Singh A New Scan Flip Flop Design to Eliminate Performance Penalty of Scan. Search on Bibsonomy ATS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Sungyoul Seo, Yong Lee 0002, Joohwan Lee, Sungho Kang 0001 A scan shifting method based on clock gating of multiple groups for low power scan testing. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Helen-Maria Dounavi, Yiorgos Tsiatouhas, Angela Arapoyanni Scan chain based at-speed diagnosis in the presence of scan output compaction schemes. Search on Bibsonomy Panhellenic Conference on Informatics The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
19Mika Fujishiro, Masao Yanagisawa, Nozomu Togawa Scan-Based Side-Channel Attack on the LED Block Cipher Using Scan Signatures. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Mika Fujishiro, Masao Yanagisawa, Nozomu Togawa Scan-Based Attack against Trivium Stream Cipher Using Scan Signatures. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Sk Subidh Ali, Ozgur Sinanoglu, Ramesh Karri Test-mode-only scan attack using the boundary scan chain. Search on Bibsonomy ETS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Tiebin Wu, Li Zhou, Hengzhu Liu Reducing scan-shift power through scan partitioning and test vector reordering. Search on Bibsonomy ICECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Mika Fujishiro, Masao Yanagisawa, Nozomu Togawa Scan-based attack on the LED block cipher using scan signatures. Search on Bibsonomy ISCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Samah Mohamed Saeed, Sk Subidh Ali, Ozgur Sinanoglu, Ramesh Karri Test-mode-only scan attack and countermeasure for contemporary scan architectures. Search on Bibsonomy ITC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
Displaying result #901 - #1000 of 7739 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license