The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for testability with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1972-1980 (18) 1981-1982 (19) 1983-1984 (27) 1985 (18) 1986 (28) 1987-1988 (53) 1989 (39) 1990 (62) 1991 (57) 1992 (64) 1993 (85) 1994 (76) 1995 (135) 1996 (84) 1997 (92) 1998 (95) 1999 (99) 2000 (102) 2001 (68) 2002 (91) 2003 (78) 2004 (82) 2005 (87) 2006 (75) 2007 (79) 2008 (87) 2009 (49) 2010 (42) 2011 (34) 2012 (28) 2013 (17) 2014 (28) 2015 (21) 2016 (18) 2017-2018 (35) 2019 (29) 2020 (26) 2021 (29) 2022 (25) 2023 (25) 2024 (4)
Publication types (Num. hits)
article(783) book(2) incollection(3) inproceedings(1401) phdthesis(21)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2833 occurrences of 878 keywords

Results
Found 2210 publication records. Showing 2210 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
13Peter Wohl, John A. Waicukauski, Jonathon E. Colburn Enhancing testability by structured partial scan. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
13Kenneth P. Parker Capacitive sensing testability in complex memory devices. Search on Bibsonomy ITC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
13Michael Viderman A Combination of Testability and Decodability by Tensor Products. Search on Bibsonomy APPROX-RANDOM The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
13Chung Chuang, Chun-Yen Wu, Chi-Chun Hsu, Li-Ren Huang, Wei-Min Cheng, Wen-Dar Hsieh A design for testability of non-volatile memory reliability test for automotive embedded processor. Search on Bibsonomy APCCAS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
13Issam Rabhi Testabilité des services Web. (Web services testability). Search on Bibsonomy 2012   RDF
13Dean L. Lewis Design for pre-bond testability in 3D integrated circuits. Search on Bibsonomy 2012   RDF
13Zichu Qi, Hui Liu, Xiangku Li, Weiwu Hu Design for Testability Features of Godson-3 Multicore Microprocessor. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Sheng-Chuan Liang, Hao-Chiao Hong A Digitally Testable Sigma -Delta Modulator Using the Decorrelating Design-for-Digital-Testability. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Tomohiko Ogawa, Haruo Kobayashi 0001, Satoshi Uemori, Yohei Tan, Satoshi Ito, Nobukazu Takai, Takahiro J. Yamaguchi, Kiichi Niitsu Design for Testability That Reduces Linearity Testing Time of SAR ADCs. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Aymen Kout, Fadel Touré, Mourad Badri An empirical analysis of a testability model for object-oriented programs. Search on Bibsonomy ACM SIGSOFT Softw. Eng. Notes The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Michael Viderman A Combination of Testability and Decodability by Tensor Products. Search on Bibsonomy Electron. Colloquium Comput. Complex. The full citation details ... 2011 DBLP  BibTeX  RDF
13Chi-Hsuan Cheng, James Chien-Mo Li An Asynchronous Design for Testability and Implementation in Thin-film Transistor Technology. Search on Bibsonomy J. Electron. Test. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Thomas O. Myers, Ian M. Bell Assessment of Microfluidic System Testability using Fault Simulation and Test Metrics. Search on Bibsonomy J. Electron. Test. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Michael Viderman A Combination of Testability and Decodability by Tensor Products Search on Bibsonomy CoRR The full citation details ... 2011 DBLP  BibTeX  RDF
13Steven R. Hetzler System Impacts of Storage Trends: Hard Errors and Testability. Search on Bibsonomy login Usenix Mag. The full citation details ... 2011 DBLP  BibTeX  RDF
13David W. Binkley, Mark Harman, Kiran Lakhotia FlagRemover: A testability transformation for transforming loop-assigned flags. Search on Bibsonomy ACM Trans. Softw. Eng. Methodol. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Krishnendu Chakrabarty Testing and design-for-testability solutions for 3D integrated circuits. Search on Bibsonomy DDECS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Rance Rodrigues, Israel Koren, Sandip Kundu An Architecture to Enable Lifetime Full Chip Testability in Chip Multiprocessors. Search on Bibsonomy PACT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Mourad Badri, Fadel Touré Empirical Analysis for Investigating the Effect of Control Flow Dependencies on Testability of Classes. Search on Bibsonomy SEKE The full citation details ... 2011 DBLP  BibTeX  RDF
13Alberto González-Sanchez 0002, Rui Abreu 0001, Hans-Gerhard Groß, Arjan J. C. van Gemund An empirical study on the usage of testability information to fault localization in software. Search on Bibsonomy SAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Bibhash Sen, Divyam Saran, Mousumi Saha, Biplab K. Sikdar Synthesis of Reversible Universal Logic around QCA with Online Testability. Search on Bibsonomy ISED The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Michal Rumplík, Josef Strnadel On RTL Testability and Gate-Level Stuck-At-Fault Coverage Correlation for Scan Circuits. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Debdeep Mukhopadhyay, Rajat Subhra Chakraborty Testability of Cryptographic Hardware and Detection of Hardware Trojans. Search on Bibsonomy Asian Test Symposium The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Brandon Noia, Krishnendu Chakrabarty Testing and Design-for-Testability Techniques for 3D Integrated Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Mel Ó Cinnéide, Dermot Boyle, Iman Hemati Moghadam Automated Refactoring for Testability. Search on Bibsonomy ICST Workshops The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Mark Harman Refactoring as Testability Transformation. Search on Bibsonomy ICST Workshops The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Ayda Saïdane, Nicolas Guelfi Towards improving security testability of AADL architecture models. Search on Bibsonomy NSS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Yanchuan Li, Gordon Fraser 0001 Bytecode Testability Transformation. Search on Bibsonomy SSBSE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Yen-An Chen, Chun-Yao Wang, Ching-Yi Huang, Hsiu-Yi Lin A register-transfer level testability analyzer. Search on Bibsonomy SoCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Lei Wang, Jianhua Jiang, Yumei Zhou, Gaofeng Ren A new scheme for testability improvement of ECC incorporated memory. Search on Bibsonomy ASICON The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Weilu Su, Longzhao Shi Design for testability of FFT/IFFT IP core for UWB systems. Search on Bibsonomy ASICON The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Mouna Karmani, Ka Lok Man, Chiraz Khedhiri, Belgacem Hamdi Design for testability in nano-CMOS analog integrated circuits using a new design analog checker. Search on Bibsonomy ISOCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Kameshwar Chandrasekar, Surendra Bommu, Sanjay Sengupta Low Coverage Analysis using dynamic un-testability debug in ATPG. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Dilip K. Bhavsar, Steve Poehlman Test access and the testability features of the Poulson multi-core Intel Itanium® processor. Search on Bibsonomy ITC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Jaeyong Chung, Jinjun Xiong, Vladimir Zolotov, Jacob A. Abraham Testability driven statistical path selection. Search on Bibsonomy DAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Arnab Bhattacharyya 0001 Testability of linear-invariant properties. Search on Bibsonomy 2011   RDF
13Hongxia Fang Design-for-Testability and Diagnosis Methods to target unmodeled Defects in Integrated Circuits and Multi-Chip Boards. Search on Bibsonomy 2011   RDF
13Parag K. Lala, Adam Mathews, James Patrick Parkerson An Approach for Implementing State Machines with Online Testability. Search on Bibsonomy VLSI Design The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
13Divya Ranjan, Anil Kumar Tripathi Testability Models for Object-Oriented Frameworks. Search on Bibsonomy J. Softw. Eng. Appl. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
13Divya Ranjan, Anil Kumar Tripathi Variability-Based Models for Testability Analysis of Frameworks. Search on Bibsonomy J. Softw. Eng. Appl. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
13Po-Yu Yeh, Bo-Yuan Ye, Sy-Yen Kuo, Ing-Yi Chen Effective design-for-testability techniques for H.264 all-binary integer motion estimation. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
13Jimson Mathew, Abusaleh M. Jabir, Ashutosh Kumar Singh 0001, Hafizur Rahaman 0001, Dhiraj K. Pradhan A Galois field-based logic synthesis with testability. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
13Hafizur Rahaman 0001, Jimson Mathew, Abusaleh M. Jabir, Dhiraj K. Pradhan Simplified bit parallel systolic multipliers for special class of galois field (2m) with testability. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
13Yogesh Singh, Anju Saha Improving the testability of object oriented software through software contracts. Search on Bibsonomy ACM SIGSOFT Softw. Eng. Notes The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
13Eli Ben-Sasson, Michael Viderman Low Rate Is Insufficient for Local Testability. Search on Bibsonomy Electron. Colloquium Comput. Complex. The full citation details ... 2010 DBLP  BibTeX  RDF
13Luís Bica Oliveira, Chris van den Bos, Jorge R. Fernandes, Chris J. M. Verhoeven, Manuel M. Silva A 5 GHz quadrature relaxation oscillator with mixing for improved testability or compact front-end implementation. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
13Widad Machmouchi Local-Testability and Self-Correctability of q-ary Sparse Linear Codes Search on Bibsonomy CoRR The full citation details ... 2010 DBLP  BibTeX  RDF
13Tim Austin, Terence Tao Testability and repair of hereditary hypergraph properties. Search on Bibsonomy Random Struct. Algorithms The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
13Chip-Hong Chang, Aijiao Cui Synthesis-for-Testability Watermarking for Field Authentication of VLSI Intellectual Property. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
13Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre Ensuring high testability without degrading security: Embedded tutorial on "test and security". Search on Bibsonomy DDECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
13Anna Bernasconi 0001, Valentina Ciriani Logic synthesis and testability of D-reducible functions. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
13Homa Alemzadeh, Marco Cimei, Paolo Prinetto, Zainalabedin Navabi Facilitating testability of TLM FIFO: SystemC implementations. Search on Bibsonomy EWDTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
13Nguyen Thanh Binh 0002, Dang Thien Binh, Michel Delaunay, Chantal Robach Testability Analysis Integrated into Scicos Development Environment. Search on Bibsonomy RIVF The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
13Charles Jordan, Thomas Zeugmann A Note on the Testability of Ramsey's Class. Search on Bibsonomy TAMC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
13Fernando Alonso, José L. Fuertes, Ángel Lucas González, Loïc Martínez On the testability of WCAG 2.0 for beginners. Search on Bibsonomy W4A The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
13Aminata Sabane Improving System Testability and Testing with Microarchitectures. Search on Bibsonomy WCRE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
13Tung-Hua Yeh, Sying-Jyan Wang Thermal Safe High Level Test Synthesis for Hierarchical Testability. Search on Bibsonomy Asian Test Symposium The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
13Nikhil P. Rahagude, Maheshwar Chandrasekar, Michael S. Hsiao DFT + DFD: An Integrated Method for Design for Testability and Diagnosability. Search on Bibsonomy Asian Test Symposium The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
13Widad Machmouchi Local-testability and self-correctability of q-ary sparse linear codes. Search on Bibsonomy ISIT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
13Sébastien Salva, Issam Rabhi A Preliminary Study on BPEL Process Testability. Search on Bibsonomy ICST Workshops The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
13Odile Laurent Using Formal Methods and Testability Concepts in the Avionics Systems Validation and Verification (V&V) Process. Search on Bibsonomy ICST The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Formal methods, testing strategy, validation and verification, automatic test case generation
13Jianping Fu, Bin Liu, Minyan Lu A Framework for Embedded Software Testability Measurement. Search on Bibsonomy ISIA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
13Giorgio Brajnik, Yeliz Yesilada, Simon Harper Testability and validity of WCAG 2.0: the expertise effect. Search on Bibsonomy ASSETS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
13Alberto González-Sanchez 0002, Éric Piel, Hans-Gerhard Groß, Arjan J. C. van Gemund Minimising the Preparation Cost of Runtime Testing Based on Testability Metrics. Search on Bibsonomy COMPSAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
13Julien Provost, Jean-Marc Roussel, Jean-Marc Faure SIC-testability of sequential logic controllers. Search on Bibsonomy WODES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
13Lydie du Bousquet A New Approach for Software Testability. Search on Bibsonomy TAIC PART The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
13Irith Pomeranz, Sudhakar M. Reddy Selecting state variables for improved on-line testability through output response comparison of identical circuits. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
13Nuno Alves, Kundan Nepal, Jennifer Dworak, R. Iris Bahar Improving the testability and reliability of sequential circuits with invariant logic. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF online error detection, test pattern reduction
13Noriaki Takagi A Study of eSRAM Testability. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
13Linda Badri, Mourad Badri, Fadel Touré Exploring Empirically the Relationship between Lack of Cohesion and Testability in Object-Oriented Systems. Search on Bibsonomy FGIT-ASEA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
13Alodeep Sanyal, Krishnendu Chakrabarty, Mahmut Yilmaz, Hideo Fujiwara RT-level design-for-testability and expansion of functional test sequences for enhanced defect coverage. Search on Bibsonomy ITC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
13Matthew R. Hosking, Ferat Sahin Testability of a swarm robot using a system of systems approach and discrete event simulation. Search on Bibsonomy SoSE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
13Eli Ben-Sasson, Michael Viderman Low Rate Is Insufficient for Local Testability. Search on Bibsonomy APPROX-RANDOM The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
13Isis Cabral, Myra B. Cohen, Gregg Rothermel Improving the Testing and Testability of Software Product Lines. Search on Bibsonomy SPLC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
13Fassely Doumbia Contribution à l'analyse de testabilité des systèmes réactifs temps-réel : Aide à la validation et à la vérification de systèmes. (Contribution to the testability analysis of reactive real-time systems: supporting systems validation and verification). Search on Bibsonomy 2010   RDF
13Kazuteru Namba, Hideo Ito Analysis of Path Delay Fault Testability for Two-Rail Logic Circuits. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Kentaroh Katoh, Kazuteru Namba, Hideo Ito Design for Delay Fault Testability of Dual Circuits Using Master and Slave Scan Paths. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Kentaroh Katoh, Kazuteru Namba, Hideo Ito Design for Delay Fault Testability of 2-Rail Logic Circuits. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Jaan Raik, Vineeth Govind, Raimund Ubar Design-for-testability-based external test and diagnosis of mesh-like network-on-a-chips. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Daniel Steel Testability and Ockham's Razor: How Formal and Statistical Learning Theory Converge in the New Riddle of Induction. Search on Bibsonomy J. Philos. Log. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Deepti Mishra 0001, Alok Mishra 0001 A discussion on design factors of client-server software testability. Search on Bibsonomy ACM SIGSOFT Softw. Eng. Notes The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Sying-Jyan Wang, Tung-Hua Yeh High-Level Test Synthesis With Hierarchical Test Generation for Delay-Fault Testability. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Bo-Yuan Ye, Po-Yu Yeh, Sy-Yen Kuo, Ing-Yi Chen Design-for-testability techniques for CORDIC design. Search on Bibsonomy Microelectron. J. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Giuseppe Lami Analytic effectiveness evaluation of techniques for natural language sotware requirements testability. Search on Bibsonomy Comput. Syst. Sci. Eng. The full citation details ... 2009 DBLP  BibTeX  RDF
13Hao-Chiao Hong, Sheng-Chuan Liang A Decorrelating Design-for-Digital-Testability Scheme for Sigma-Delta Modulators. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Cynthia Sturton, Susmit Jha, Sanjit A. Seshia, David A. Wagner 0001 On voting machine design for verification and testability. Search on Bibsonomy CCS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Qiang Guo 0001, John Derrick, Neil Walkinshaw Applying Testability Transformations to Achieve Structural Coverage of Erlang Programs. Search on Bibsonomy TestCom/FATES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF FBT, Structural Coverage, Testing, Transformation, Erlang
13Muhammad Rabee Shaheen, Lydie du Bousquet Analysis of the Introduction of Testability Antipatterns during the Development Process. Search on Bibsonomy ICSEA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Anna Bernasconi 0001, Valentina Ciriani, Gabriella Trucco, Tiziano Villa Logic Minimization and Testability of 2SPP-P-Circuits. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Yu-Jen Huang, Jin-Fu Li 0001 Testability Exploration of 3-D RAMs and CAMs. Search on Bibsonomy Asian Test Symposium The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Vishal Chowdhary Practicing Testability in the Real World. Search on Bibsonomy ICST The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Alberto González 0002, Éric Piel, Hans-Gerhard Gross A Model for the Measurement of the Runtime Testability of Component-Based Systems. Search on Bibsonomy ICST Workshops The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Nadia Alshahwan, Mark Harman, Alessandro Marchetto 0001, Paolo Tonella Improving Web Application Testing using testability measures. Search on Bibsonomy WSE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Eduardo Ribeiro da Silva, F. Costa, Frank Herman Behrens, Remerson Stein Kickhofel, Ricardo Maltione Analog test bus architecture for small die size and limited pin count devices with internal IPs testability emphasis. Search on Bibsonomy LATW The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Tao Xu 0002, Krishnendu Chakrabarty Design-for-Testability for Digital Microfluidic Biochips. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Unni Chandran, Dan Zhao SS-KTC: A High-Testability Low-Overhead Scan Architecture with Multi-level Security Integration. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Tolga Yurga A metrics-based approach to the testing process and testability of object-oriented software systems ; Nesne-yönelimli yazılım sistemlerinin test sürecine ve test edilebilirliğine metrik tabanlı bir yaklaşım. (PDF / PS) Search on Bibsonomy 2009   RDF
13Birgitta Lindström Testability of Dynamic Real-Time Systems. Search on Bibsonomy 2009   RDF
13Kentaroh Katoh, Kazuteru Namba, Hideo Ito Two-Stage Stuck-at Fault Test Data Compression Using Scan Flip-Flops with Delay Fault Testability. Search on Bibsonomy IPSJ Trans. Syst. LSI Des. Methodol. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Sayeeda Sultana, Shahriar Al-Imam, Katarzyna Radecka Design for Testability of QCA Logic Under Stuck-at-value Fault Model. Search on Bibsonomy J. Multiple Valued Log. Soft Comput. The full citation details ... 2008 DBLP  BibTeX  RDF
Displaying result #901 - #1000 of 2210 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license