The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for microprocessor with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1968-1975 (36) 1976 (26) 1977 (56) 1978 (42) 1979 (45) 1980 (48) 1981 (37) 1982 (67) 1983 (51) 1984 (53) 1985 (39) 1986 (46) 1987 (52) 1988 (65) 1989 (46) 1990 (45) 1991 (40) 1992 (30) 1993 (36) 1994 (67) 1995 (73) 1996 (66) 1997 (107) 1998 (122) 1999 (114) 2000 (156) 2001 (119) 2002 (176) 2003 (205) 2004 (233) 2005 (233) 2006 (233) 2007 (259) 2008 (221) 2009 (135) 2010 (95) 2011 (60) 2012 (69) 2013 (68) 2014 (57) 2015 (56) 2016 (51) 2017 (39) 2018 (43) 2019 (48) 2020 (30) 2021 (45) 2022 (28) 2023 (25) 2024 (4)
Publication types (Num. hits)
article(1239) book(14) incollection(22) inproceedings(2766) phdthesis(39) proceedings(17)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2784 occurrences of 1319 keywords

Results
Found 4097 publication records. Showing 4097 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
12Daniel Hansson Automatic Bug Fixing. Search on Bibsonomy MTV The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Mohamed A. Salem, Kerstin I. Eder Novel MC/DC Coverage Test Sets Generation Algorithm, and MC/DC Design Fault Detection Strength Insights. Search on Bibsonomy MTV The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Rico Angell, Ben Oztalay, Andrew DeOrio A Topological Approach to Hardware Bug Triage. Search on Bibsonomy MTV The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12James D. Warnock, Yuen H. Chan, Hubert Harrer, Sean M. Carey, Gerard Salem, Doug Malone, Ruchir Puri, Jeffrey A. Zitz, Adam Jatkowski, Gerald Strevig, Ayan Datta, Anne Gattiker, Aditya Bansal, Guenter Mayer, Yiu-Hing Chan, Mark D. Mayo, David L. Rude, Leon J. Sigal, Thomas Strach, Howard H. Smith, Huajun Wen, Pak-kin Mak, Chung-Lung Kevin Shum, Donald W. Plass, Charles F. Webb Circuit and Physical Design of the zEnterprise™ EC12 Microprocessor Chips and Multi-Chip Module. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Joaquin Gracia-Moran, Juan-Carlos Baraza-Calvo, Daniel Gil-Tomas, Luis J. Saiz-Adalid, Pedro J. Gil-Vicente Effects of Intermittent Faults on the Reliability of a Reduced Instruction Set Computing (RISC) Microprocessor. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Zao Liu, Sheldon X.-D. Tan, Hai Wang 0002, Yingbo Hua, Ashish Gupta 0007 Compact thermal modeling for packaged microprocessor design with practical power maps. Search on Bibsonomy Integr. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Nektarios Georgios Tsoutsos, Michail Maniatakos Fabrication Attacks: Zero-Overhead Malicious Modifications Enabling Modern Microprocessor Privilege Escalation. Search on Bibsonomy IEEE Trans. Emerg. Top. Comput. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Kumpei Yoshikawa, Kouji Ichikawa, Makoto Nagata AC Power Supply Noise Simulation of CMOS Microprocessor with LSI Chip-Package-Board Integrated Model. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Paolo Bernardi, Lyl Mercedes Ciganda, Ernesto Sánchez 0001, Matteo Sonza Reorda MIHST: A Hardware Technique for Embedded Microprocessor Functional On-Line Self-Test. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Fabian Oboril, Mehdi Baradaran Tahoori Aging-Aware Design of Microprocessor Instruction Pipelines. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Hwajeong Seo, Jihyun Kim 0005, Jongseok Choi, Taehwan Park, Zhe Liu 0001, Howon Kim 0001 Small Private Key MQPKS on an Embedded Microprocessor. Search on Bibsonomy Sensors The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Frank Liu 0001, Ben R. Hodges Applying microprocessor analysis methods to river network modelling. Search on Bibsonomy Environ. Model. Softw. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Imran Wali, Arnaud Virazel, Alberto Bosio, Luigi Dilillo, Patrick Girard 0001, Aida Todri Protecting combinational logic in pipelined microprocessor cores against transient and permanent faults. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Uwe Brinkschulte, Daniel Lohn, Michael Bauer Design and Evaluation of an Energy-Saving Real-Time Microprocessor. Search on Bibsonomy ISORC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12 15th International Microprocessor Test and Verification Workshop, MTV 2014, Austin, TX, USA, December 15-16, 2014 Search on Bibsonomy MTV The full citation details ... 2014 DBLP  BibTeX  RDF
12Chen-Bo Hsu, James B. Kuo Power consumption optimization methodology (PCOM) for low-power/ low-voltage 32-bit microprocessor circuit design via MTCMOS. Search on Bibsonomy MWSCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12K. Chibani, Mohamed Ben Jrad, Michele Portolan, Régis Leveugle Fast accurate evaluation of register lifetime and criticality in a pipelined microprocessor. Search on Bibsonomy VLSI-SoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Waleed Dweik, Murali Annavaram, Michel Dubois 0001 Reliability-Aware Exceptions: Tolerating intermittent faults in microprocessor array structures. Search on Bibsonomy DATE The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Cong Wang, Naehyuck Chang, Younghyun Kim 0001, Sangyoung Park, Yongpan Liu, Hyung Gyu Lee, Rong Luo, Huazhong Yang Storage-less and converter-less maximum power point tracking of photovoltaic cells for a nonvolatile microprocessor. Search on Bibsonomy ASP-DAC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Tomoyuki Nakabayashi, Tomoyuki Sugiyama, Takahiro Sasaki, Eric Rotenberg, Toshio Kondo Co-simulation framework for streamlining microprocessor development on standard ASIC design flow. Search on Bibsonomy ASP-DAC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Daxin Luo, Yaoda Liu, Xiaoying Liu, Bin Zhang, Gang Li, Qi Liao, Qinfen Hao, Zhulin Wei Optical Interconnects between Microprocessor and Memories. Search on Bibsonomy HPCC/CSS/ICESS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Mohamed Amine Boussadi, Thierry Tixier, Alexis Landrault, Jean-Pierre Dérutin HNCP-II: A 16-core 65nm microprocessor ASIC for image processing algorithms. Search on Bibsonomy ReCoSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12K. Chibani, Salma Bergaoui, Michele Portolan, Régis Leveugle Criticality evaluation of embedded software running on a pipelined microprocessor and impact of compilation options. Search on Bibsonomy ICECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Brian Campbell 0001, Ian Stark Randomised Testing of a Microprocessor Model Using SMT-Solver State Generation. Search on Bibsonomy FMICS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Hantao Huang, Sai Manoj Pudukotai Dinakarrao, Dongjun Xu, Hao Yu 0001, Zhigang Hao Reinforcement learning based self-adaptive voltage-swing adjustment of 2.5D I/Os for many-core microprocessor and memory communication. Search on Bibsonomy ICCAD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Robert A. Groves, Phillip J. Restle, Alan J. Drake, David Shan, Michael G. R. Thomson Optimization and modeling of resonant clocking inductors for the POWER8TM microprocessor. Search on Bibsonomy CICC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Marco Aiello 0002, Antonio Bruscino, Antonio Di Marzo, Michele Paragliola nSHIELD-Gateway - A Hybrid FPGA-Microprocessor based Architecture to Foster the Interconnection of Embedded Systems. Search on Bibsonomy PECCS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Aaron Grenat, Sanjay Pant, Ravinder Rachala, Samuel Naffziger 5.6 Adaptive clocking system for improved power efficiency in a 28nm x86-64 microprocessor. Search on Bibsonomy ISSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Kris Myny, Steve Smout, Maarten Rockele, Ajay Bhoolokam, Tung Huei Ke, Soeren Steudel, Koji Obata, B. M. Marín-Santibáñez, Duy-Vu Pham, Arne Hoppe, Aashini Gulati, F. Rodríguez González, Brian Cobb, Gerwin H. Gelinck, Jan Genoe, Wim Dehaene, Paul Heremans 30.1 8b Thin-film microprocessor using a hybrid oxide-organic complementary technology with inkjet-printed P2ROM memory. Search on Bibsonomy ISSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Zeynep Toprak Deniz, Michael A. Sperling, John F. Bulzacchelli, Gregory S. Still, Ryan Kruse, Seongwon Kim, David Boerstler, Tilman Gloekler, Raphael Robertazzi, Kevin Stawiasz, Tim Diemoz, George English, David Hui, Paul Muench, Joshua Friedrich 5.2 Distributed system of digitally controlled microregulators enabling per-core DVFS for the POWER8TM microprocessor. Search on Bibsonomy ISSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Phillip J. Restle, David Shan, David Hogenmiller, Yong Kim, Alan J. Drake, Jason Hibbeler, Thomas J. Bucelot, Gregory S. Still, Keith A. Jenkins, Joshua Friedrich 5.3 Wide-frequency-range resonant clock with on-the-fly mode changing for the POWER8TM microprocessor. Search on Bibsonomy ISSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Mostafa Mohamed, Patrick Sebastian, Lo Hai Hiung, Shawn Tan Ser Ngiap Threading optimization of the AEMB microprocessor. Search on Bibsonomy ICCSCE The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Jared Davis, Anna Slobodová, Sol Swords Microcode Verification - Another Piece of the Microprocessor Verification Puzzle. Search on Bibsonomy ITP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Artjom Jasnetski, Raimund Ubar, Anton Tsertov, Helena Kruus Laboratory framework TEAM for investigating the dependability issues of microprocessor systems. Search on Bibsonomy EWME The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Dirk Jansen, Benjamin Dusch Every student makes his own microprocessor. Search on Bibsonomy EWME The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Manolis Kaliorakis, Mihalis Psarakis, Nikos Foutris, Dimitris Gizopoulos Accelerated online error detection in many-core microprocessor architectures. Search on Bibsonomy VTS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Yung-Chang Chang, Li-Ren Huang, Hsing-Chuang Liu, Chih-Jen Yang, Ching-Te Chiu Assessing automotive functional safety microprocessor with ISO 26262 hardware requirements. Search on Bibsonomy VLSI-DAT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Chen-Bo Hsu, James B. Kuo MTCMOS low-power design technique (LPDT) for low-voltage pipelined microprocessor circuits. Search on Bibsonomy ISIC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Itaru Hida, Dahoo Kim, Tetsuya Asai, Masato Motomura A 4.5 to 13 times energy-efficient embedded microprocessor with mainly-static/partially-dynamic reconfigurable array accelerator. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Zdenek Prikryl Fast Simulation of Pipeline in ASIP Simulators. Search on Bibsonomy MTV The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Deepak Venkatesan, Pradeep Nagarajan A Case Study of Multiprocessor Bugs Found Using RIS Generators and Memory Usage Techniques. Search on Bibsonomy MTV The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Michele Lora, Francesco Martinelli, Franco Fummi Hardware Synthesis from Software-Oriented UML Descriptions. Search on Bibsonomy MTV The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Michael Mefenza, Franck Yonga, Christophe Bobda Automatic UVM Environment Generation for Assertion-Based and Functional Verification of SystemC Designs. Search on Bibsonomy MTV The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Mohamed O. Kayed, Mohamed Abdelsalam, Rafik Guindi A Novel Approach for SVA Generation of DDR Memory Protocols Based on TDML. Search on Bibsonomy MTV The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Weihua Han Improve the Verification Productivity: Some Best Practices from SoC and Processor Projects. Search on Bibsonomy MTV The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12John Hudson, Gunaranjan Kurucheti A Configurable Random Instruction Sequence (RIS) Tool for Memory Coherence in Multi-processor Systems. Search on Bibsonomy MTV The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Daniel Hansson Continuous Linting with Automatic Debug. Search on Bibsonomy MTV The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Parikshit Pritam Dhodapkar Synthesizable Memory Models for Virtual Prototyping. Search on Bibsonomy MTV The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Ganesh Venkatakrishnan, Naresh Kumar Kadali 'Dump What You Need' - A Coverage Methodology to Accelerate SoC Verification. Search on Bibsonomy MTV The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Somnath Banerjee 0003, Tushar Gupta Optimized Simulation Acceleration with Partial Testbench Evaluation. Search on Bibsonomy MTV The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Moaz Mostafa, Mona Safar, M. Watheq El-Kharashi, Mohamed Dessouky System Verilog Assertion Debugging Based on Visualization, Simulation Results, and Mutation. Search on Bibsonomy MTV The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Nitin Gupta, Chethan Harakchand Embracing the FPGA Challenge for Processor Design Verification. Search on Bibsonomy MTV The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Peter-Michael Seidel Directed Test Case Generation for x86 Instruction Decoding. Search on Bibsonomy MTV The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Jan Malburg, Emmanuelle Encrenaz-Tiphène, Görschwin Fey Mutation Based Feature Localization. Search on Bibsonomy MTV The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Lukás Charvát, Ales Smrcka, Tomás Vojnar Using Formal Verification of Parameterized Systems in RAW Hazard Analysis in Microprocessors. Search on Bibsonomy MTV The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Shajid Thiruvathodi, Deepak Yeggina A Random Instruction Sequence Generator for ARM Based Systems. Search on Bibsonomy MTV The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Andrea Höller, Gerhard Schonfelder, Nermin Kajtazovic, Tobias Rauter, Christian Kreiner FIES: A Fault Injection Framework for the Evaluation of Self-Tests for COTS-Based Safety-Critical Systems. Search on Bibsonomy MTV The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Maneesh Kumar Pandey, Shwetank Shekhar, Amit Sinha, Arun Mishra An FPGA Based Ecosystem for USBPHY Validation. Search on Bibsonomy MTV The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Peter-Michael Seidel A Case for Multi-level Combination of Theorem Proving and Model Checking Tools. Search on Bibsonomy MTV The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Mrugesh Walimbe JTAG-AXI Debug IP with Performance Meter Mode. Search on Bibsonomy MTV The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Visvesh S. Sathe 0001, Srikanth Arekapudi, Alexander T. Ishii, Charles Ouyang, Marios C. Papaefthymiou, Samuel Naffziger Resonant-Clock Design for a Power-Efficient, High-Volume x86-64 Microprocessor. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
12Ching-Hwa Cheng Design Example of Useful Memory Latency for Developing a Hazard Preventive Pipeline High-Performance Embedded-Microprocessor. Search on Bibsonomy VLSI Design The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
12C. Kevin Shum, Fadi Busaba, Christian Jacobi 0002 IBM zEC12: The Third-Generation High-Frequency Mainframe Microprocessor. Search on Bibsonomy IEEE Micro The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
12Marco Vacca, Mariagrazia Graziano, Maurizio Zamboni Nanomagnetic Logic Microprocessor: Hierarchical Power Model. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
12Zbigniew Stachniak This Is Not a Computer: Negotiating the Microprocessor. Search on Bibsonomy IEEE Ann. Hist. Comput. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
12Rupesh S. Shelar, Marek Patyra Impact of Local Interconnects on Timing and Power in a High Performance Microprocessor. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
12Tianshi Chen 0002, Yunji Chen, Qi Guo 0001, Zhi-Hua Zhou, Ling Li 0001, Zhiwei Xu 0002 Effective and efficient microprocessor design space exploration using unlabeled design configurations. Search on Bibsonomy ACM Trans. Intell. Syst. Technol. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
12Maximilien Breughe, Lieven Eeckhout Selecting representative benchmark inputs for exploring microprocessor design spaces. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
12Angeliki Kritikakou, Francky Catthoor, George Athanasiou, Vasilios I. Kelefouras, Costas E. Goutis Near-Optimal Microprocessor and Accelerators Codesign with Latency and Throughput Constraints. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
12L. N. Stepanova, S. I. Kabanov, S. A. Bekher, M. S. Nikitenko Microprocessor multi-channel strain-gauge systems for dynamic tests of structures. Search on Bibsonomy Autom. Remote. Control. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
12 Microprocessor architectures follow markets and silicon. Search on Bibsonomy Commun. ACM The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
12Ana Aizcorbe, Samuel Kortum, Unni Pillai The value of microprocessor designs. Search on Bibsonomy Commun. ACM The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
12Chang-Chih Chen, Fahad Ahmed, Linda Milor Impact of NBTI/PBTIon SRAMs within microprocessor systems: Modeling, simulation, and analysis. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
12Adam Golda, Andrzej Kos Optimum control of microprocessor throughput under thermal and energy saving constraints. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
12David P. Montminy, Rusty O. Baldwin, Michael A. Temple, Mark E. Oxley Differential Electromagnetic Attacks on a 32-bit Microprocessor Using Software Defined Radios. Search on Bibsonomy IEEE Trans. Inf. Forensics Secur. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
12Naga Pavan Kumar Gorti, Arun K. Somani Reliability aware dynamic voltage and frequency scaling for improved microprocessor lifetime. Search on Bibsonomy ACM SIGOPS Oper. Syst. Rev. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
12Diego González 0002, Guillermo Botella, Carlos García 0001, Manuel Prieto 0001, Francisco Tirado Acceleration of block-matching algorithms using a custom instruction-based paradigm on a Nios II microprocessor. Search on Bibsonomy EURASIP J. Adv. Signal Process. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
12Stephen Wright, Kerstin Eder Experience of Deploying Event-B in Industrial Microprocessor Development. Search on Bibsonomy Industrial Deployment of System Engineering Methods The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
12 14th International Workshop on Microprocessor Test and Verification, MTV 2013, Austin, TX, USA, December 11-13, 2013 Search on Bibsonomy MTV The full citation details ... 2013 DBLP  BibTeX  RDF
12Chang-Chih Chen, Linda Milor System-level modeling and microprocessor reliability analysis for backend wearout mechanisms. Search on Bibsonomy DATE The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
12Andrzej Kwiecien, Michal Mackowski, Marcin Sidzina Data Security in Microprocessor Units. Search on Bibsonomy CN The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
12Andrzej Kwiecien, Michal Mackowski, Krzysztof Skoroniak The Concept of Software-Based Techniques of Increasing Immunity of Microprocessor Unit to Electromagnetic Disturbances. Search on Bibsonomy CN The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
12Yap Hoon, Noor Ain Kamsani, Roslina Mohd Sidek, Nasri Sulaiman, Fakhrul Zaman Rokhani Energy efficient 8-bit microprocessor for wireless sensor network applications. Search on Bibsonomy ICEAC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
12Gwo-Ching Chang A microprocessor-based multichannel subsensory stochastic resonance electrical stimulator. Search on Bibsonomy EMBC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
12Ruchir Puri Opportunities and challenges for high performance microprocessor designs and design automation. Search on Bibsonomy ISPD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
12Tim Barrass Mozzi: Interactive sound synthesis on the Open Source Arduino Microprocessor. Search on Bibsonomy ICMC The full citation details ... 2013 DBLP  BibTeX  RDF
12Michael Bauer, Daniel Lohn, Benjamin Betting, Uwe Brinkschulte Design and evaluation of an adaptive real-time microprocessor. Search on Bibsonomy ICES The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
12Ashraf Suyyagh, Benjamin Nahill, Alexandre Courtemanche, Evgeny Kirshin, Zeljko Zilic, Boris Karajica Managing the microprocessor course scope expansion. Search on Bibsonomy MSE The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
12Debapriya Chatterjee, Biruk Mammo, Doowon Lee, Raviv Gal, Ronny Morad, Amir Nahir, Avi Ziv, Valeria Bertacco Hybrid checking for microarchitectural validation of microprocessor designs on acceleration platforms. Search on Bibsonomy ICCAD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
12Yier Jin, Yiorgos Makris A proof-carrying based framework for trusted microprocessor IP. Search on Bibsonomy ICCAD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
12Xiaoping Huang, Jianfeng An A Novel Architecture to Identify the Microprocessor Chips by Implanting Timing-Fault Execution Unit. Search on Bibsonomy CSE The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
12Achim D. Brucker, Abderrahmane Feliachi, Yakoub Nemouchi, Burkhart Wolff Test Program Generation for a Microprocessor - A Case-Study. Search on Bibsonomy TAP@STAF The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
12Joaquin Gracia-Moran, Daniel Gil-Tomas, Luis J. Saiz-Adalid, Juan-Carlos Baraza-Calvo, Pedro J. Gil-Vicente Defining a Representative and Low Cost Fault Model Set for Intermittent Faults in Microprocessor Buses. Search on Bibsonomy LADC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
12James D. Warnock, Yuen H. Chan, Hubert Harrer, David L. Rude, Ruchir Puri, Sean M. Carey, Gerard Salem, Guenter Mayer, Yiu-Hing Chan, Mark D. Mayo, Adam Jatkowski, Gerald Strevig, Leon J. Sigal, Ayan Datta, Anne Gattiker, Aditya Bansal, Doug Malone, Thomas Strach, Huajun Wen, Pak-kin Mak, Chung-Lung Kevin Shum, Donald W. Plass, Charles F. Webb 5.5GHz system z microprocessor and multi-chip module. Search on Bibsonomy ISSCC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
12Alan J. Drake, Michael S. Floyd, Richard L. Willaman, Derek J. Hathaway, Joshua Hernandez, Crystal Soja, Marshall D. Tiner, Gary D. Carpenter, Robert M. Senger Single-cycle, pulse-shaped critical path monitor in the POWER7+ microprocessor. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
12Jason Cong, Mohammad Ali Ghodrat, Michael Gill, Beayna Grigorian, Hui Huang 0001, Glenn Reinman Composable accelerator-rich microprocessor enhanced for adaptivity and longevity. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
12Sai Manoj Pudukotai Dinakarrao, Hao Yu 0001 Cyber-physical management for heterogeneously integrated 3D thousand-core on-chip microprocessor. Search on Bibsonomy ISCAS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
12Nikos Foutris, Dimitris Gizopoulos, John Kalamatianos, Vilas Sridharan Measuring the performance impact of permanent faults in modern microprocessor architectures. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
12Chang-Chih Chen, Linda Milor System-level modeling and reliability analysis of microprocessor systems. Search on Bibsonomy IWASI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
12Joonho Kong, Sung Woo Chung Process variation-tolerant 3D microprocessor design: An efficient architectural solution. Search on Bibsonomy ICICDT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
Displaying result #1101 - #1200 of 4097 (100 per page; Change: )
Pages: [<<][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19]
[20][21][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license