The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "DSD"( http://dblp.L3S.de/Venues/DSD )

URL (DBLP): http://dblp.uni-trier.de/db/conf/dsd

Publication years (Num. hits)
2001 (68) 2002 (54) 2003 (67) 2004 (85) 2005 (80) 2006 (95) 2007 (104) 2008 (124) 2009 (116) 2010 (112) 2011 (113) 2012 (137) 2013 (137) 2014 (102) 2015 (109) 2016 (103) 2017 (82) 2018 (108) 2019 (100) 2020 (103) 2021 (82) 2022 (124) 2023 (105)
Publication types (Num. hits)
inproceedings(2287) proceedings(23)
Venues (Conferences, Journals, ...)
DSD(2310)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 91 occurrences of 73 keywords

Results
Found 2310 publication records. Showing 2310 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Xin Xin, Jens-Peter Kaps, Kris Gaj A Configurable Ring-Oscillator-Based PUF for Xilinx FPGAs. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ahmet Aris, Siddika Berna Örs, Gökay Saldamli Architectures for Fast Modular Multiplication. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Nima Aghaee, Zebo Peng, Petru Eles Adaptive Temperature-Aware SoC Test Scheduling Considering Process Variation. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1 14th Euromicro Conference on Digital System Design, Architectures, Methods and Tools, DSD 2011, August 31 - September 2, 2011, Oulu, Finland Search on Bibsonomy DSD The full citation details ... 2011 DBLP  BibTeX  RDF
1Marco Gerards, Christiaan Baaij, Jan Kuper, Matthijs Kooijman Higher-Order Abstraction in Hardware Descriptions with C?aSH. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Simon Yuan, Li Hsien Yoong, Partha S. Roop Compiling Esterel for Multi-core Execution. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Chetan Kumar V., P. Sai Phaneendra, Syed Ershad Ahmed, Sreehari Veeramachaneni, N. Moorthy Muthukrishnan, M. B. Srinivas A Unified Architecture for BCD and Binary Adder/Subtractor. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Mohammad Hossein Neishaburi, Zeljko Zilic On Failure Rate Assessment Using an Executable Model of the System. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Yu Bai 0004, Weidong Kuang Design of Asynchronous Circuits on FPGAs for Soft Error Tolerance. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Václav Dvorák, Petr Mikusek On the Cascade Implementation of Multiple-Output Sparse Logic Functions. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Vahid Khorasani, Bijan Vosoughi Vahdat, Mohammad Mortazavi Analyzing Area Penalty of 32-Bit Fault Tolerant ALU Using BCH Code. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jaroslav Sykora, Leos Kafka, Martin Danek, Lukas Kohout Microthreading as a Novel Method for Close Coupling of Custom Hardware Accelerators to SVP Processors. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Constantinos Efstathiou, Kiamal Z. Pekmestzi, Nicholas Axelos On the Design of Modulo 2^n+1 Multipliers. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Alireza Rohani, Hans G. Kerkhoff A Technique for Accelerating Injection of Transient Faults in Complex SoCs. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Alessandro Barenghi, Guido Bertoni, Fabrizio De Santis, Filippo Melzani On the Efficiency of Design Time Evaluation of the Resistance to Power Attacks. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Antti Kamppi, Lauri Matilainen, Joni-Matti Määttä, Erno Salminen, Timo D. Hämäläinen, Marko Hännikäinen Kactus2: Environment for Embedded Product Development Using IP-XACT and MCAPI. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Fahimeh Jafari, Shuo Li 0002, Ahmed Hemani Optimal Selection of Function Implementation in a Hierarchical Configware Synthesis Method for a Coarse Grain Reconfigurable Architecture. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ilya Levin, Osnat Keren Generalized If-Then-Else Operator for Compact Polynomial Representation of Multi Output Functions. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Lina Sawalha, Sonya R. Wolff, Monte P. Tull, Ronald D. Barnes Phase-Guided Scheduling on Single-ISA Heterogeneous Multicore Processors. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Petr Stembera, Martin Novotný Breaking Hitag2 with Reconfigurable Hardware. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Giovanni Danese, Francesco Leporati, Alessandra Majani, Giulia Matrone, Enrico Merlino A Wearable Intelligent System for the Health of Expectant Mom's and of Their Children. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Alexandre Solon Nery, Lech Józwiak, Menno Lindwer, Mauro Cocco, Nadia Nedjah, Felipe M. G. França Hardware Reuse in Modern Application-Specific Processors and Accelerators. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Pablo Ituero, Marisa López-Vallejo, Miguel A. Sánchez Marcos, Carlos Gómez Osuna On-chip Monitoring: A Light-Weight Interconnection Network Approach. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Johannes Grinschgl, Armin Krieg, Christian Steger, Reinhold Weiss, Holger Bock, Josef Haid Modular Fault Injector for Multiple Fault Dependability and Security Evaluations. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Sergey Ostroumov, Leonidas Tsiopoulos VHDL Code Generation from Formal Event-B Models. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Hadrien A. Clarke, Kazuaki J. Murakami Thermal Effect of TSVs in 3D Die-Stacked Integrated Circuits. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Guido Matrella, Davide Marani An Embedded Video Sensor for a Smart Traffic Light. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Harmke de Groot, Maryam Ashouei, Julien Penders, Valer Pop, Maja Vidojkovic, Bert Gyselinckx, Refet Firat Yazicioglu Human++: Key Challenges and Trade-offs in Embedded System Design for Personal Health Care. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Mehdi Dehbashi, André Sülflow, Görschwin Fey Automated Design Debugging in a Testbench-Based Verification Environment. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Daniel Mueller-Gritschneder, Kun Lu 0005, Ulf Schlichtmann Control-Flow-Driven Source Level Timing Annotation for Embedded Software Models on Transaction Level. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Roland Dobai, Marcel Baláz SAT-Based Generation of Compressed Skewed-Load Tests for Transition Delay Faults. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Takieddine Majdoub, Sébastien Le Nours, Olivier Pasquier, Fabienne Nouvel Transaction Level Modeling of a Networked Embedded System Based on a Power Line Communication Protocol. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Haoyuan Ying, Ashok Jaiswal, Thomas Hollstein, Klaus Hofmann A Fast Congestion-Aware Flow Control Mechanism for ID-Based Networks-on-Chip with Best-Effort Communication. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Michal Bryk, Lech Józwiak, Wieslaw Kuzmicz Rapid and Accurate Leakage Power Estimation for Nano-CMOS Circuits. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Georgios Keramidas, Nikolaos Strikos, Stefanos Kaxiras Multicore Cache Simulations Using Heterogeneous Computing on General Purpose and Graphics Processors. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Yang Yang, Marc Geilen, Twan Basten, Sander Stuijk, Henk Corporaal Iteration-Based Trade-Off Analysis of Resource-Aware SDF. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Romain Prolonge, Fabien Clermidy, Leonel Tedesco, Fernando Moraes 0001 Dynamic Flow Reconfiguration Strategy to Avoid Communication Hot-Spots. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Mohammad Maghsoudloo, Hamid R. Zarandi, Saadat Pour-Mozafari, Navid Khoshavi Soft Error Detection Technique in Multi-threaded Architectures Using Control-Flow Monitoring. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Alessandro Bardine, Pierfrancesco Foglia, Francesco Panicucci, Marco Solinas, Julio Sahuquillo Energy Behaviour of NUCA Caches in CMPs. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Amir-Mohammad Rahmani, Pasi Liljeberg, Juha Plosila, Hannu Tenhunen LastZ: An Ultra Optimized 3D Networks-on-Chip Architecture. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Martti Forsell, Ville Leppänen, Martti Penttonen Cost of Sparse Mesh Layouts Supporting Throughput Computing. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Nicola Bombieri, Franco Fummi, Sara Vinco, Davide Quaglia Automatic Interface Generation for Component Reuse in HW-SW Partitioning. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Tobias Vejda, Johann Großschädl, Dan Page A Unified Multiply/Accumulate Unit for Pairing-Based Cryptography over Prime, Binary and Ternary Fields. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Alexandre Solon Nery, Nadia Nedjah, Felipe M. G. França, Lech Józwiak A Parallel Ray Tracing Architecture Suitable for Application-Specific Hardware and GPGPU Implementations. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Rohit Datta, Gerhard P. Fettweis, Zsolt Kollár, Péter Horváth 0002 FBMC and GFDM Interference Cancellation Schemes for Flexible Digital Radio PHY Design. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Paris Kitsos, Nicolas Sklavos 0001, Athanassios N. Skodras An FPGA Implementation of the ZUC Stream Cipher. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Anton Tsertov, Raimund Ubar, Artur Jutman, Sergei Devadze SoC and Board Modeling for Processor-Centric Board Testing. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jaroslav Borecký, Martin Kohlík, Pavel Kubalík, Hana Kubátová Fault Models Usability Study for On-line Tested FPGA. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Thomas Plos, Martin Feldhofer Hardware Implementation of a Flexible Tag Platform for Passive RFID Devices. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Xu Guo 0001, Meeta Srivastav, Sinan Huang, Dinesh Ganta, Michael B. Henry, Leyla Nazhandali, Patrick Schaumont Pre-silicon Characterization of NIST SHA-3 Final Round Candidates. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Tao Xie 0006, Wolfgang Müller 0003, Florian Letombe HDL-Mutation Based Simulation Data Generation by Propagation Guided Search. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Luka B. Daoud, Mohamed El-Sayed Ragab, Victor Goulart Faster Processor Allocation Algorithms for Mesh-Connected CMPs. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Kameswar Rao Vaddina, Amir-Mohammad Rahmani, Khalid Latif 0002, Pasi Liljeberg, Juha Plosila Thermal Analysis of Job Allocation and Scheduling Schemes for 3D Stacked NoC's. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Philip Hodgers, Keanhong Boey, Máire O'Neill Power Spectral Density Side Channel Attack Overlapping Window Method. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Apostolos P. Fournaris, Odysseas G. Koufopavlou Efficient CRT RSA with SCA Countermeasures. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Richard Ruzicka, Václav Simek Chip Temperature Selfregulation for Digital Circuits Using Polymorphic Electronics Principles. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jesús Camacho Villanueva, José Flich, José Duato, Hans Eberle, Wladek Olesinski Towards an Efficient NoC Topology through Multiple Injection Ports. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jiaoyan Chen, Dilip P. Vasudevan, Emanuel M. Popovici, Michel P. Schellekens Design of a Low Power, Sub-Threshold, Asynchronous Arithmetic Logic Unit Using a Bidirectional Adder. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Sebastián Isaza, Ernst Houtgast, Georgi Gaydadjiev HMMER Performance Model for Multicore Architectures. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Mansour Shafaei, Ahmad Patooghy, Seyed Ghassem Miremadi Numeral-Based Crosstalk Avoidance Coding to Reliable NoC Design. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Charly Bechara, Nicolas Ventroux, Daniel Etiemble Comparison of Different Thread Scheduling Strategies for Asymmetric Chip MultiThreading Architectures in Embedded Systems. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Morteza Damavandpeyma, Sander Stuijk, Twan Basten, Marc Geilen, Henk Corporaal Hybrid Code-Data Prefetch-Aware Multiprocessor Task Graph Scheduling. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Harmke de Groot Human++: Key Challenges and Trade-offs in Embedded System Design for Personal Health Care (Abstract). Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Khalid Latif 0002, Amir-Mohammad Rahmani, Kameswar Rao Vaddina, Tiberiu Seceleanu, Pasi Liljeberg, Hannu Tenhunen Enhancing Performance Sustainability of Fault Tolerant Routing Algorithms in NoC-Based Architectures. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Caglar Kalaycioglu, Ilker Hamzaoglu Dynamic Power Estimation for Motion Estimation Hardware. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Muhammad Aqeel Wahlah, Kees Goossens PUMA: Placement Unification with Mapping and Guaranteed Throughput Allocation on an FPGA Using a Hardwired NoC. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ashkan Beyranvand Nejad, Anca Mariana Molnos, Kees Goossens A Unified Execution Model for Data-Driven Applications on a Composable MPSoC. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Choong Geun Lee, Vasily G. Moshnyaga, Koji Hashimoto Embedded System for Camera-Based TV Power Reduction. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Evangelos Vassalos, Dimitris Bakalis, Haridimos T. Vergos Modulo 2^n+1 Arithmetic Units with Embedded Diminished-to-Normal Conversion. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Pierre-Henri Horrein, Christine Hennebert, Frédéric Pétrot An Environment for (re)configuration and Execution Managenment of Flexible Radio Platforms. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Palanichamy Manikandan, Bjørn B. Larsen, Einar J. Aas An Enhanced Path Delay Fault Simulator for Combinational Circuits. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Tevfik Zafer Ozcan, Cagla Cakir, Mert Cetin, Ilker Hamzaoglu An Overlapped Block Motion Compensation Hardware for Frame Rate Conversion. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Bo Liu, Hamid Reza Pourshaghaghi, Sebastian M. Londono, José Pineda de Gyvez Process Variation Reduction for CMOS Logic Operating at Sub-threshold Supply Voltage. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Mojtaba Valinataj Evaluation of Fault-Tolerant Routing Methods for NoC Architectures. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Yohei Nakata, Yukihiro Takeuchi, Hiroshi Kawaguchi 0001, Masahiko Yoshimoto A Process-Variation-Adaptive Network-on-Chip with Variable-Cycle Routers. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Andrew Nelson 0001, Orlando Moreira, Anca Mariana Molnos, Sander Stuijk, Ba Thang Nguyen, Kees Goossens Power Minimisation for Real-Time Dataflow Applications. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Abdul Naeem, Axel Jantsch, Xiaowen Chen, Zhonghai Lu Realization and Scalability of Release and Protected Release Consistency Models in NoC Based Systems. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Martijn Koedam, Sander Stuijk, Henk Corporaal Exploiting Inter and Intra Application Dynamism to Save Energy. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Muhammad Aqeel Wahlah, Kees Goossens A Non-Intrusive Online FPGA Test Scheme Using a Hardwired Network on Chip. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jia Huang, Jan Olaf Blech, Andreas Raabe, Christian Buckl, Alois C. Knoll Reliability-Aware Design Optimization for Multiprocessor Embedded Systems. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Michal Rumplík, Josef Strnadel On RTL Testability and Gate-Level Stuck-At-Fault Coverage Correlation for Scan Circuits. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ignacio Algredo-Badillo, Claudia Feregrino Uribe, René Cumplido, Miguel Morales-Sandoval Novel Hardware Architecture for Implementing the Inner Loop of the SHA-2 Algorithms. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Cor Meenderinck, Ben H. H. Juurlink Nexus: Hardware Support for Task-Based Programming. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Farid Lahrach, Abderrahim Doumar, Eric Châtelet Fault Tolerance of Multiple Logic Faults in SRAM-Based FPGA Systems. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Tolga Ovatman, Feza Buzluca Model Driven Cache-Aware Scheduling of Object Oriented Software for Chip Multiprocessors. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ran Manevich, Israel Cidon, Avinoam Kolodny, Isask'har Walter, Shmuel Wimer A Cost Effective Centralized Adaptive Routing for Networks-on-Chip. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Maryam Kamali, Luigia Petre, Kaisa Sere, Masoud Daneshtalab Formal Modeling of Multicast Communication in 3D NoCs. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Pedro Miguens Matutino, Ricardo Chaves, Leonel Sousa Binary-to-RNS Conversion Units for moduli {2^n ± 3}. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Menno Lindwer The Future of Data-Parallel Embedded Systems (Abstract). Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Annelie Heuser, Michael Kasper, Werner Schindler, Marc Stöttinger How a Symmetry Metric Assists Side-Channel Evaluation - A Novel Model Verification Method for Power Analysis. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Leandro Fiorin, Laura Micconi, Mariagiovanna Sami Design of Fault Tolerant Network Interfaces for NoCs. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jiri Balcarek, Petr Fiser, Jan Schmidt Techniques for SAT-Based Constrained Test Pattern Generation. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Kris Gaj Cryptographic Contests: Toward Fair and Comprehensive Benchmarking of Cryptographic Algorithms in Hardware (Abstract). Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Satoru Nakano, Yoichi Wakaba, Shinobu Nagayama, Shin'ichi Wakabayashi A Design Method for Programmable Two-Variable Discrete Function Generators Using Spline and Bilinear Interpolations. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Karthik Chandrasekar 0001, Benny Akesson, Kees Goossens Improved Power Modeling of DDR SDRAMs. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Behzad Salami 0001, Morteza Saheb Zamani, Ali Jahanian 0001 VMAP: A Variation Map-Aware Placement Algorithm for Leakage Power Reduction in FPGAs. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1A. N. Nagamani, S. Nishchai Quaternary High Performance Arithmetic Logic Unit Design. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Junfeng Chu, Mohammed Benaissa A Novel Architecture of Implementing Error Detecting AES Using PRNS. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Alfio Lombardo, Carla Panarello, Diego Reforgiato Recupero, Enrico Santagati, Giovanni Schembra A Module for Packet Hijacking in NetFPGA Platform. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Fataneh Jafari, Mahdi Mosaffa, Siamak Mohammadi Designing Robust Asynchronous Circuits Based on FinFET Technology. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
Displaying result #1301 - #1400 of 2310 (100 per page; Change: )
Pages: [<<][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19]
[20][21][22][23][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license