The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "DSD"( http://dblp.L3S.de/Venues/DSD )

URL (DBLP): http://dblp.uni-trier.de/db/conf/dsd

Publication years (Num. hits)
2001 (68) 2002 (54) 2003 (67) 2004 (85) 2005 (80) 2006 (95) 2007 (104) 2008 (124) 2009 (116) 2010 (112) 2011 (113) 2012 (137) 2013 (137) 2014 (102) 2015 (109) 2016 (103) 2017 (82) 2018 (108) 2019 (100) 2020 (103) 2021 (82) 2022 (124) 2023 (105)
Publication types (Num. hits)
inproceedings(2287) proceedings(23)
Venues (Conferences, Journals, ...)
DSD(2310)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 91 occurrences of 73 keywords

Results
Found 2310 publication records. Showing 2310 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Panayiotis Savvopoulos, Nikolaos Papandreou, Theodore Antonakopoulos 0001 Architecture and DSP Implementation of a DVB-S2 Baseband Demodulator. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Jimmy Tarrillo, Letícia Maria Veiras Bolzani Poehls, Fabian Vargas 0001 A Hardware-Scheduler for Fault Detection in RTOS-Based Embedded Systems. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1George Kiokes, George Economakos, Angelos Amditis, Nikolaos K. Uzunoglu Recursive Systematic Convolutional Code Simulation for Ofdm - 802.11p System and FPGA Implementation Using an ESL Methodology. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Timo Schönwald, Jochen Zimmermann, Oliver Bringmann 0001, Wolfgang Rosenstiel Network-on-Chip Architecture Exploration Framework. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Salvador Petit, Rafael Ubal, Julio Sahuquillo, Pedro López 0001, José Duato An Efficient Low-Complexity Alternative to the ROB for Out-of-Order Retirement of Instructions. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Jan Kastil, Jan Korenek, Ondrej Lengál Methodology for Fast Pattern Matching by Deterministic Finite Automaton with Perfect Hashing. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Simon Hollis Pulse Generation for On-chip Data Transmission. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Hajer Chtioui, Rabie Ben Atitallah, Smaïl Niar, Jean-Luc Dekeyser, Mohamed Abid A Dynamic Hybrid Cache Coherency Protocol for Shared-Memory MPSoC. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Mario Alberto Ibarra-Manzano, Dora Luz Almanza-Ojeda, Michel Devy, Jean-Louis Boizard, Jean-Yves Fourniols Stereo Vision Algorithm Implementation in FPGA Using Census Transform for Effective Resource Optimization. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Joris Borms, Kris Steenhaut, Bart Lemmens, Ann Nowé Power Aware Fulfilment of Latency Requirements by Exploiting Heterogeneity in Wireless Sensor and Actuator Networks. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Maroun Ojail, Raphaël David, Stéphane Chevobbe, Didier Demigny Reconfiguration Level Analysis of FFT / FIR Units in Wireless Telecommunication Systems. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Rizwan Asghar, Di Wu 0003, Johan Eilert, Dake Liu Memory Conflict Analysis and Interleaver Design for Parallel Turbo Decoding Supporting HSPA Evolution. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Marcel Steine, Marco Bekooij, Maarten Wiggers A Priority-Based Budget Scheduler with Conservative Dataflow Model. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Alexandre Guerre, Nicolas Ventroux, Raphaël David, Alain Mérigot Approximate-Timed Transactional Level Modeling for MPSoC Exploration: A Network-on-Chip Case Study. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Marius Gligor, Nicolas Fournel, Frédéric Pétrot Adaptive Dynamic Voltage and Frequency Scaling Algorithm for Symmetric Multiprocessor Architecture. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Asadollah Shahbahrami, Ben H. H. Juurlink SIMD Architectural Enhancements to Improve the Performance of the 2D Discrete Wavelet Transform. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Tsutomu Sasao, Takaaki Nakamura, Munehiro Matsuura Representation of Incompletely Specified Index Generation Functions Using Minimal Number of Compound Variables. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1James Mathews, Matthew Barnes, D. K. Arvind 0001 Low Power Free Space Optical Communication in Wireless Sensor Networks. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Demid Borodin, Ben H. H. Juurlink, Stefanos Kaxiras Instruction Precomputation for Fault Detection. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Roland Dobai, Elena Gramatová Deductive Fault Simulation for Asynchronous Sequential Circuits. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Amir Ehsani Zonouz, Mehrdad Seyrafi, Arghavan Asad, Mohsen Soryani, Mahmood Fathy, Reza Berangi A Fault Tolerant NoC Architecture for Reliability Improvement and Latency Reduction. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Perfecto Mariño Espiñeira, Fernando Pérez-Fontán, Miguel Angel Domínguez, Santiago Otero Ad-hoc WSN in Biological Research. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Giovanni Mariani, Gianluca Palermo, Cristina Silvano, Vittorio Zaccaria Meta-model Assisted Optimization for Design Space Exploration of Multi-Processor Systems-on-Chip. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Richard Ruzicka Dependable Controller Design Using Polymorphic Counters. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Sven Rosinger, Kiril Schröder, Wolfgang Nebel Power Management Aware Low Leakage Behavioural Synthesis. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Minwook Ahn, Jonghee M. Youn, Youngkyu Choi, Doosan Cho, Yunheung Paek Iterative Algorithm for Compound Instruction Selection with Register Coalescing. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Lu Gao, Li Guo, Canxing Lu Acceleration of MELP Algorithm Using DSP Coprocessor with Extended Registers. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Václav Simek, Radim Dvorak, Frantisek Zboril, Vladimír Drábek GPU Accelerated Solver of Time-Dependent Air Pollutant Transport Equations. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Masoumeh Ebrahimi, Masoud Daneshtalab, Pasi Liljeberg, Hannu Tenhunen An Adaptive Unicast/Multicast Routing Algorithm for MPSoCs. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Ozgur Tasdizen, Ilker Hamzaoglu A Reconfigurable Frame Interpolation Hardware Architecture for High Definition Video. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Makoto Sugihara Heterogeneous Multiprocessor Synthesis under Performance and Reliability Constraints. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Rui Policarpo Duarte, Horácio C. Neto, Mário P. Véstias Double-precision Gauss-Jordan Algorithm with Partial Pivoting on FPGAs. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1George Economakos, Sotirios Xydis Optimized Reconfigurable RTL Components for Performance Improvements During High-Level Synthesis. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1George Economakos, Sotirios Xydis A Scheduling Postprocessor to Exploit Morphable RTL Components During High-Level Synthesis. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Shahid Mehmood, Stefano Cagnoni, Monica Mordonini, Guido Matrella Hardware-oriented Adaptation of a Particle Swarm Optimization Algorithm for Object Detection. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Sudip Roy 0001, Ajit Pal Why to Use Dual-Vt, If Single-Vt Serves the Purpose Better under Process Parameter Variations? Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1A. Neslin Ismailoglu, Murat Askar SDIVA: Structural Delay Insensitivity Verification Analysis Method for Bit-Level Pipelined Systolic Arrays with Early Output Evaluation. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Sharareh Zamanzadeh, Mohammad Mirza-Aghatabar, Mehrdad Najibi, Hossein Pedram, Abolghasem Sadeghi Reimbursing the Handshake Overhead of Asynchronous Circuits using Compiler Pre-Synthesis Optimizations. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Radek Dobias, Jan Konarski, Hana Kubátová Dependability Evaluation of Real Railway Interlocking Device. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Francesco Sechi, Luca Fanucci, Stefano Luschi, Simone Perini, Matteo Madesani Design of a Distributed Embedded System for Domotic Applications. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Alberto Ferrante, Simone Medardoni, Davide Bertozzi Network Interface Sharing Techniques for Area Optimized NoC Architectures. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Lech Józwiak, Szymon Bieganski Technology Library Modelling for Information-driven Circuit Synthesis. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Pedro Garcia-Repetto, María C. Molina, Rafael Ruiz-Sautua, Guillermo Botella Juan Exploiting Internal Operation Patterns during the High-Level Synthesis of Time-Constrained Circuits. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Alessandro Bardine, Manuel Comparetti, Pierfrancesco Foglia, Giacomo Gabrielli, Cosimo Antonio Prete, Per Stenström Leveraging Data Promotion for Low Power D-NUCA Caches. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Carlo Brandolese Source-Level Estimation of Energy Consumption and Execution Time of Embedded Software. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Francisco J. Jaime, Javier Hormigo, Julio Villalba, Emilio L. Zapata SIMD Enhancements for a Hough Transform Implementation. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Toshimasa Funaki, Toshinori Sato Formulating MITF for a Multicore Processor with SEU Tolerance. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Viacheslav Izosimov, Paul Pop, Petru Eles, Zebo Peng Synthesis of Flexible Fault-Tolerant Schedules with Preemption for Mixed Soft and Hard Real-Time Systems. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Goran Panic, Daniel Dietterle, Zoran Stamenkovic Architecture of a Power-Gated Wireless Sensor Node. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Alessandro Cilardo, Nicola Mazzocca, Luigi Coppolino Virtual Scan Chains for Online Testing of FPGA-based Embedded Systems. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Jiri Jenícek Efficient Test Pattern Compression Method Using Hard Fault Preferring. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Sebastien Fontaine, Luc Filion, Guy Bois Exploring ISS Abstractions for Embedded Software Design. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Abdellah-Medjadji Kouadri-Mostefaoui, Benaoumeur Senouci, Frédéric Pétrot Large Scale On-Chip Networks : An Accurate Multi-FPGA Emulation Platform. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Theja Tulabandhula, Amit Patra, Nirmal B. Chakrabarti Design of a Two Dimensional PRSI Image Processor. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Zhen Liu 0018, Jia Yu 0008, Xiaojun Wang 0001, Bin Liu 0001, Laxmi N. Bhuyan Revisiting the Cache Effect on Multicore Multithreaded Network Processors. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Carlo Brandolese, William Fornaciari Measurement, Analysis and Modeling of RTOS System Calls Timing. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Cristiana Bolchini, Antonio Miele, Donatella Sciuto Fault Models and Injection Strategies in SystemC Specifications. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Thomas Sødring, Åshild Grønstad Solheim, Tor Skeie, Sven-Arne Reinemo An Analysis of Connectivity and Yield for 2D Mesh Based NoC with Interconnect Router Failures. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Simone Campanoni, William Fornaciari Models and Tradeoffs in WSN System-Level Design. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Giacomo Paci, Axel Nackaerts, Francky Catthoor, Luca Benini, Paul Marchal How to Live with Uncertainties: Exploiting the Performance Benefits of Self-Timed Logic In Synchronous Design. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Pedro Miguens Matutino, Leonel Sousa An RNS based Specific Processor for Computing the Minimum Sum-of-Absolute-Differences. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Roberto Giorgi, Zdravko Popovic, Nikola Puzovic, Arnaldo Azevedo, Ben H. H. Juurlink Analyzing Scalability of Deblocking Filter of H.264 via TLP Exploitation in a New Many-Core Architecture. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Ming Liu 0011, Wolfgang Kuehn, Zhonghai Lu, Axel Jantsch System-on-an-FPGA Design for Real-time Particle Track Recognition and Reconstruction in Physics Experiments. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Huiju Cheng, Howard M. Heys, Cheng Wang PUFFIN: A Novel Compact Block Cipher Targeted to Embedded Digital Systems. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Tsau-Shuan Wu, Alkan Cengiz, Tom W. Chen Improving SER Immunity of Combinational Logic Using Combinations of Spatial and Temporal Checking. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Yoonjin Kim, Rabi N. Mahapatra A New Array Fabric for Coarse-Grained Reconfigurable Architecture. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Martin Straka, Zdenek Kotásek, Jan Winter Digital Systems Architectures Based on On-line Checkers. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Petr Mikusek, Vaclav Dvorak On Lookup Table Cascade-Based Realizations of Arbiters. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Shruti Patil, Venkatesan Muthukumar Maximizing Resource Utilization by Slicing of Superscalar Architecture. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Rafael Tornero, Juan Manuel Orduña, Andres Mejia, José Flich, José Duato CART: Communication-Aware Routing Technique for Application-Specific NoCs. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Andrzej Krasniewski Concurrent Error Detection for a Network of Combinational Logic Blocks Implemented with Memory Embedded in FPGAs. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Nuno Sebastião, Tiago Dias 0001, Nuno Roma, Paulo F. Flores, Leonel Sousa Application Specific Programmable IP Core for Motion Estimation: Technology Comparison Targeting Efficient Embedded Co-Processing Units. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Magnus Själander, Andrei Sergeevich Terechko, Marc Duranton A Look-Ahead Task Management Unit for Embedded Multi-Core Architectures. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Laurent Alaus, Dominique Noguet, Jacques Palicot A Reconfigurable LFSR for Tri-standard SDR Transceiver, Architecture and Complexity Analysis. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Jirí Kvasnicka, Pavel Kubalík, Hana Kubátová Experimental SEU Impact on Digital Design Implemented in FPGAs. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Primoz Puhar, Andrej Zemva Functional Verification of a USB Host Controller. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Witold A. Pleskacz, Maksim Jenihhin, Jaan Raik, Michal Rakowski, Raimund Ubar, Wieslaw Kuzmicz Hierarchical Analysis of Short Defects between Metal Lines in CMOS IC. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Enrique San Millán, Luis Entrena, José Alberto Espejo Logic Transformations by Multiple Wire Network Addition. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Sergio Saponara, Francesco Vitullo, Riccardo Locatelli, Philippe Teninge, Marcello Coppola, Luca Fanucci LIME: A Low-latency and Low-complexity On-chip Mesochronous Link with Integrated Flow Control. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Minoo Mirsaeedi, Morteza Saheb Zamani, Mehdi Saeedi Multi-Objective Statistical Yield Enhancement using Evolutionary Algorithm. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Paola Baldrighi, Marco Maurizio Maggi, Marco Castellano, Carla Vacchi, Davide Crespi, Piero Bonifacino Implementation of Microprogrammed Hard Disk Drive Servo Sequencer. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Eduardas Bareisa, Vacius Jusas, Kestutis Motiejunas, Rimantas Seinauskas Development of Functional Delay Tests. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Ruimin Huang, Niklas Lotze, Yiannos Manoli On Design a High Speed Sigma Delta DAC Modulator for a Digital Communication Transceiver on Chip. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Izhar Zaidi, Atukem Nabina, Cedric Nishan Canagarajah, José L. Núñez-Yáñez Power/Area Analysis of a FPGA-Based Open-Source Processor using Partial Dynamic Reconfiguration. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Morteza Damavandpeyma, Siamak Mohammadi Architectural Synthesis with Control Data Flow Extraction toward an Asynchronous CAD Tool. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Paris Kitsos, George N. Selimis, Odysseas G. Koufopavlou, Athanassios N. Skodras A Hardware Implementation of CURUPIRA Block Cipher for Wireless Sensors. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Christophe Le Blanc, Éric Colinet, Jérôme Juillard, Lorena Anghel Digital Implementation of a BIST Method based on Binary Observations. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Blaz Lampreht, Luka Stepancic, Igor Vizec, Bostjan Zankar, Miha Mraz, Iztok Lebar Bajec, Primoz Pecar Quantum-Dot Cellular Automata Serial Comparator. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1R. Morales-Ramos, Alexander Vaz, Daniel Pardo 0001, Roc Berenguer Ultra-Low Power Passive UHF RFID for Wireless Sensor Networks. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Roberto Giorgi, Paolo Bennati Reducing Leakage through Filter Cache. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Behnam Ghavami, Mehrshad Khosraviani, Hossein Pedram Power Optimization of Asynchronous Circuits through Simultaneous Vdd and Vth Assignment and Template Sizing. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Dario Frazzetta, Giuseppe Dimartino, Maurizio Palesi, Shashi Kumar, Vincenzo Catania Efficient Application Specific Routing Algorithms for NoC Systems utilizing Partially Faulty Links. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Muhammad Rashid, Damien Picard, Bernard Pottier Application Analysis for Parallel Processing. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Tsutomu Sasao, Yukihiro Iguchi On the Complexity of Error Detection Functions for Redundant Residue Number Systems. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Ismael Gómez, Vuk Marojevic, José Salazar, Antoni Gelonch A Lightweight Operating Environment for Next Generation Cognitive Radios. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Gottfried Fuchs, Matthias Függer, Ulrich Schmid 0001, Andreas Steininger Mapping a Fault-Tolerant Distributed Algorithm to Systems on Chip. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Alberto A. Del Barrio, María C. Molina, Jose Manuel Mendias, Esther Andres Perez, Román Hermida Restricted Chaining and Fragmentation Techniques in Power Aware High Level Synthesis. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Ahmed Abdallah, Wayne H. Wolf, Graham R. Hellestrand Using Empirical Science to Engineer Systems: Optimizing Cache for Power and Performance. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Luca Fanucci (eds.) 11th Euromicro Conference on Digital System Design: Architectures, Methods and Tools, DSD 2008, Parma, Italy, September 3-5, 2008 Search on Bibsonomy DSD The full citation details ... 2008 DBLP  BibTeX  RDF
1Alessandro Mignogna, Massimo Conti, M. D'Angelo, Massimo Baleani, Alberto Ferrari Transaction Level Modeling and Performance Analysis in SystemC of IEEE 802.15.4 Wireless Standard. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #1601 - #1700 of 2310 (100 per page; Change: )
Pages: [<<][7][8][9][10][11][12][13][14][15][16][17][18][19]
[20][21][22][23][24][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license