The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for microprocessor with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1968-1975 (36) 1976 (26) 1977 (56) 1978 (42) 1979 (45) 1980 (48) 1981 (37) 1982 (67) 1983 (51) 1984 (53) 1985 (39) 1986 (46) 1987 (52) 1988 (65) 1989 (46) 1990 (45) 1991 (40) 1992 (30) 1993 (36) 1994 (67) 1995 (73) 1996 (66) 1997 (107) 1998 (122) 1999 (114) 2000 (156) 2001 (119) 2002 (176) 2003 (205) 2004 (233) 2005 (233) 2006 (233) 2007 (259) 2008 (221) 2009 (135) 2010 (95) 2011 (60) 2012 (69) 2013 (68) 2014 (57) 2015 (56) 2016 (51) 2017 (39) 2018 (43) 2019 (48) 2020 (30) 2021 (45) 2022 (28) 2023 (25) 2024 (4)
Publication types (Num. hits)
article(1239) book(14) incollection(22) inproceedings(2766) phdthesis(39) proceedings(17)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2784 occurrences of 1319 keywords

Results
Found 4097 publication records. Showing 4097 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
12Yuji Sato Proposal for a field-evolvable hardware based on a microprocessor incorporated flash memory. Search on Bibsonomy CEC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Nabarun Bhattacharyya, A. Wang Automatic test generation for micro-architectural verification of configurable microprocessor cores with user extensions. Search on Bibsonomy HLDVT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Shuvendu K. Lahiri, Carl Pixley, Ken Albin Experience with term level modeling and verification of the M*CORE TM microprocessor core. Search on Bibsonomy HLDVT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Pierluigi Civera, Luca Macchiarulo, Maurizio Rebaudengo, Matteo Sonza Reorda, Massimo Violante FPGA-Based Fault Injection for Microprocessor Systems. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Y. Lin, L. E. Turner, Martin P. Mintchev Design of a portable microprocessor-based stimulator for the recreation of impaired gastrointestinal motility. Search on Bibsonomy ICECS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Mark D. Aagaard, Byron Cook, Nancy A. Day, Robert B. Jones A Framework for Microprocessor Correctness Statements. Search on Bibsonomy CHARME The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Chia-Hung Kuo, Behnam S. Arad Design and Simulation of a Pipelined Microprocessor. Search on Bibsonomy CAINE The full citation details ... 2001 DBLP  BibTeX  RDF
12Tamotsu Miyake, Takeo Yamashita, Norikatsu Asari, Hideki Sekisaka, Tom Sakai, Kazuhiro Matsuura, Atsushi Wakahara, Hideyuki Takahashi, Tom Hiyama, Kazuhisa Miyamoto, Kazutaka Mori Design methodology of high performance microprocessor using ultra-low threshold voltage CMOS. Search on Bibsonomy CICC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Howard H. Smith, Aline Deutsch, Sharad Mehrotra, David Widiger, Michael A. Bowen, Allan H. Dansky, Gerard V. Kopcsay, Byron Krauter R(f)L(f)C coupled noise evaluation of an S/390 microprocessor chip. Search on Bibsonomy CICC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Frank Vahid, Ann Gordon-Ross A self-optimizing embedded microprocessor using a loop table for low power. Search on Bibsonomy ISLPED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF parameterized architectures, self-optimizing architecture, embedded systems, low-power, system-on-a-chip, platforms, cores, tuning
12John Paul Shen Clear and Present Tensions in Microprocessor Design. Search on Bibsonomy ICCD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Heather Hanson, M. S. Hrishikesh, Vikas Agarwal, Stephen W. Keckler, Doug Burger Static Energy Reduction Techniques for Microprocessor Caches. Search on Bibsonomy ICCD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Seongwoo Kim, Arun K. Somani On-Line Integrity Monitoring of Microprocessor Control Logic. Search on Bibsonomy ICCD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Mauro Olivieri, Alessandro Trifiletti An all-digital clock generator firm-core based on differential fine-tuned delay for reusable microprocessor cores. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Sergio L. Toral Marín, José M. Quero, Mar M. Elena Pérez, Leopoldo García Franquelo A microprocessor based system for ECG telemedicine and telecare. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Mauro Olivieri A genetic approach to the design space exploration of superscalar microprocessor architectures. Search on Bibsonomy ISCAS (5) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Ram Krishnamurthy 0001, Mark A. Anders 0001, Krishnamurthy Soumyanath, Shekhar Borkar Leakage control and tolerance challenges for sub-0.1µm microprocessor circuits. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Gilbert Vandling Modeling and testing the Gekko microprocessor, an IBM PowerPC derivative for Nintendo. Search on Bibsonomy ITC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Mary P. Kusko, Bryan J. Robbins, Timothy J. Koprowski, William V. Huott 99% AC test coverage using only LBIST on the 1 GHz IBM S/390 zSeries 900 Microprocessor. Search on Bibsonomy ITC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Sei Takahashi, Munehisa Taira, Hidetaka Saegusa, Takehiko Hoshino, Hideo Nakamura Development of a Fail-Safe Microprocessor LSI with Self-Diagnosis Mechanism Depending on an M-Sequence Code Signature. Search on Bibsonomy PRDC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Rajagopalan Desikan, Doug Burger, Stephen W. Keckler Measuring Experimental Error in Microprocessor Simulation. Search on Bibsonomy ISCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Bob Bentley Validating the Intel Pentium 4 Microprocessor. Search on Bibsonomy DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Richard Lee, Benjamin Tsien Pre-silicon Verification of the Alpha 21364 Microprocessor Error Handling System. Search on Bibsonomy DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Gregory A. Northrop, Pong-Fei Lu A Semi-Custom Design Flow in High-Performance Microprocessor Design. Search on Bibsonomy DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Robert Müller 0002 Fingerprint verification with microprocessor security tokens. Search on Bibsonomy 2001   RDF
12Ali El-Hajj, Karim Y. Kabalan, Maher N. Mneimneh, Feras Karablieh Microprocessor Simulation and Program Assembling Using Spreadsheets. Search on Bibsonomy Simul. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Hee-Tae Ahn, David J. Allstot A low-jitter 1.9-V CMOS PLL for UltraSPARC microprocessor applications. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Simon Tam 0001, Stefan Rusu, Utpal Nagarji Desai, Robert Kim, Ji Zhang, Ian Young 0001 Clock generation and distribution for the first IA-64 microprocessor. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Takashi Okuda, Isao Naritake, Tadahiko Sugibayashi, Yuji Nakajima, Tatsunori Murotani A 12-ns 8-Mbyte DRAM secondary cache for a 64-bit microprocessor. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Stefan Rusu, Gadi Singer The first IA-64 microprocessor. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Thomas D. Burd, Trevor Pering, Anthony J. Stratakos, Robert W. Brodersen A dynamic voltage scaled microprocessor system. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Raymond A. Heald, Kathirgamar Aingaran, Chaim Amir, Michael Ang, Michael Boland, Pankaj Dixit, Gary Gouldsberry, Dale Greenley, Joel Grinberg, Jason M. Hart, Tim Horel, Wen-Jay Hsu, James M. Kaku, Chin Kim, Song Kim, Fabian Klass, Hang Kwan, Gary Lauterbach, Roger Lo, Hugh McIntyre, Anup Mehta, David Murata, Sophie Nguyen, Yet-Ping Pai, Subeer Patel, Ken Shin, Kenway Tam, Sai Vishwanthaiah, John Wu, Gin Yee, Eileen You A third-generation SPARC V9 64-b microprocessor. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12William C. Athas, Nestoras Tzartzanis, Weihua Mao, Lena Peterson, Rajat Lal, Kisup Chong, Joong-Seok Moon, Lars J. Svensson, Michael Bolotski The design and implementation of a low-power clock-powered microprocessor. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12David H. Allen, Sang H. Dhong, H. Peter Hofstee, Jens Leenstra, Kevin J. Nowka, Daniel L. Stasiak, Dieter F. Wendel Custom circuit design as a driver of microprocessor performance. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Charles F. Webb S/390 microprocessor design. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Todd M. Austin DIVA: A Dynamic Approach to Microprocessor Verification. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2000 DBLP  BibTeX  RDF
12John N. Coleman, E. I. Chester, Christopher I. Softley, Jiri Kadlec Corrections to 'Arithmetic on the European Logarithmic Microprocessor'. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Pantelis N. Botsaris, A. Polyhroniadis Microprocessor controlled exhaust gas lambda sensor. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Eduardo Augusto Bezerra, Michael Paul Gough A guide to migrating from microprocessor to FPGA coping with the support tool limitations. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12M. Watheq El-Kharashi, F. Elguibaly, Kin F. Li A quantitative study for Java microprocessor architectural requirements. Part I: Instruction set design. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12M. Watheq El-Kharashi, F. Elguibaly, Kin F. Li A quantitative study for Java microprocessor architectural requirements. Part II: high-level language support. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Takashi Ebihara, Yasushi Ishikawa, Yasuhisa Kisuki, Tadashi Sakamoto, Tomohiro Hase Speech synthesis software with a variable speaking rate and its implementation on a 32-bit microprocessor. Search on Bibsonomy IEEE Trans. Consumer Electron. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Go Sato, Takashi Asai, Tadashi Sakamoto, Tomohiro Hase Improvement of the positioning accuracy of a software-based GPS receiver using a 32-bit embedded microprocessor. Search on Bibsonomy IEEE Trans. Consumer Electron. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Jae Wook Jeon A microprocessor course: designing and implementing personal microcomputers. Search on Bibsonomy IEEE Trans. Educ. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Richard B. Brown, Ronald J. Lomax, Gordon A. Carichner, Alan J. Drake A microprocessor design project in an introductory VLSI course. Search on Bibsonomy IEEE Trans. Educ. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Keith A. Jenkins, James P. Eckhardt Measuring Jitter and Phase Error in Microprocessor Phase-Locked Loops. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Wei-Cheng Lai, Angela Krstic, Kwang-Ting (Tim) Cheng Functionally Testable Path Delay Faults on a Microprocessor. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Narayanan Krishnamurthy, Andrew K. Martin, Magdy S. Abadir, Jacob A. Abraham Validating PowerPC Microprocessor Custom Memories. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Alfred L. Crouch, Michael Mateja, Teresa L. McLaurin, John C. Potter, Dat Tran Test Development for a Third-Version ColdFire Microprocessor. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Magdy S. Abadir, Sumit Dasgupta Guest Editors' Introduction: Microprocessor Test and Verification. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2000 DBLP  BibTeX  RDF
12Andrew Burdass, Gary Campbell, Richard Grisenthwaite, David Gwilt, Peter Harrod, Richard York Microprocessor cores. Search on Bibsonomy ETW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Jun Kikuchi, Tetsuo Sasaki, Tohru Hashimoto, Kazuhisa Miyamoto Delay-optimal wiring plan for the microprocessor of high performance computing machines. Search on Bibsonomy ASP-DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Naoki Nishimura, Takahiro Sasaki, Tetsuo Hironaka Prototype microprocessor LSI with scheduling support hardware for operating system on multiprocessor system. Search on Bibsonomy ASP-DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Fujio Ishihara, Christian Klinger, Ken-ichi Agawa Clock design of 300MHz 128-bit 2-way superscalar microprocessor. Search on Bibsonomy ASP-DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Norman Kojima, Yukiko Parameswar, Christian Klingner, Yukio Ohtaguro, Masataka Matsui, Shigeaki Iwasa, Tatsuo Teruyama, Takayoshi Shimazawa, Hideki Takeda, Kouji Hashizume, Haruyuki Tago, Masaaki Yamada Repeater insertion method and its application to a 300MHz 128-bit 2-way superscalar microprocessor. Search on Bibsonomy ASP-DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12David M. Brooks, Margaret Martonosi, John-David Wellman, Pradip Bose Power-Performance Modeling and Tradeoff Analysis for a High End Microprocessor. Search on Bibsonomy PACS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Sergej Sawitzki, Jens Schönherr, Rainer G. Spallek, Bernd Straube Formal Verification of a Reconfigurable Microprocessor. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Jess Rupley II, David C. Holloway Performance tradeoffs in sequencer design on a new G4 PowerPCTM microprocessor. Search on Bibsonomy ISPASS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Tsvetozar Georgiev, Angel Smrikarov, Dimitar Stanchev Advanced microprocessor system for testing the dynamic properties of road vehicles. Search on Bibsonomy CompSysTech The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Wadih Zaatar, George E. Nasr An implementation scheme for a microprocessor emulator. Search on Bibsonomy ICECS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Rory McInerney, Kurt Leeper, Troy Hill, Heming Chan, Bulent Basaran, Lance McQuiddy Methodology for repeater insertion management in the RTL, layout, floorplan and fullchip timing databases of the Itanium microprocessor. Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF RC delay, routing, timing, estimation, microprocessors, floorplan, repeaters
12Lode Nachtergaele, Vivek Tiwari, Nikil D. Dutt System and Architecture-Level Power Reduction for Microprocessor-Based Communication and Multi-Media Applications. Search on Bibsonomy ICCAD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Jayanta Bhadra, Magdy S. Abadir, Jacob A. Abraham A quick and inexpensive method to identify false critical paths using ATPG techniques: an experiment with a PowerPCTM microprocessor. Search on Bibsonomy CICC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Trevor Pering, Thomas D. Burd, Robert W. Brodersen Voltage scheduling in the IpARM microprocessor system. Search on Bibsonomy ISLPED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF low-power, energy-efficient, operating systems, RTOS
12Yi-Kan Cheng, David Bearden, Kanti Suryadevara Application-Based, Transistor-Level Full-Chip Power Analysis for 700 MHz PowerPCTM Microprocessor. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Piotr Dudek, Peter J. Hicks A CMOS general-purpose sampled-data analogue microprocessor. Search on Bibsonomy ISCAS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Christian Jacobi 0002, Daniel Kroening Proving the Correctness of a Complete Microprocessor. Search on Bibsonomy GI Jahrestagung The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Jayanta Batra, Magdy S. Abadir, Jacob A. Abraham A Quick and Inexpensive Method to Identify False Critical Paths Using ATPG Techniques: an Experiment with a PowerPC Microprocessor. Search on Bibsonomy LATW The full citation details ... 2000 DBLP  BibTeX  RDF
12Teresa L. McLaurin, Frank Frederick The testability features of the MCF5407 containing the 4th generation ColdFire(R) microprocessor core. Search on Bibsonomy ITC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Wei-Cheng Lai, Angela Krstic, Kwang-Ting Cheng Test program synthesis for path delay faults in microprocessor cores. Search on Bibsonomy ITC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Rajesh Raina, Robert Bailey, Dawit Belete, Vikram Khosa, Robert F. Molyneaux, Javier Prado, Ashutosh Razdan DFT advances in Motorola's Next-Generation 74xx PowerPCTM microprocessor. Search on Bibsonomy ITC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Teresa L. McLaurin, John C. Potter On-the-shelf core pattern methodology for ColdFire(R) microprocessor cores. Search on Bibsonomy ITC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Farideh Golshan Test and on-line debug capabilities of IEEE Std 1149.1 in UltraSPARC-III microprocessor. Search on Bibsonomy ITC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Anjali Kinra, Hari Balachandran, Regy Thomas, John Carulli Logic mapping on a microprocessor. Search on Bibsonomy ITC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Takahiro J. Yamaguchi, Mani Soma, David Halter, Jim Nissen, Rajesh Raina, Masahiro Ishida, Toshifumi Watanabe Jitter measurements of a PowerPCTM microprocessor using an analytic signal method. Search on Bibsonomy ITC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Kevin Skadron A microprocessor survey course: exploring advanced computer architecture in practice. Search on Bibsonomy WCAE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Steven K. Reinhardt Integrating hardware and software concepts in a microprocessor-based system design lab. Search on Bibsonomy WCAE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Mark Oskin, Frederic T. Chong, Matthew K. Farrens HLS: combining statistical and symbolic simulation to guide microprocessor designs. Search on Bibsonomy ISCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Vikram Iyengar, Elizabeth M. Rudnick Microprocessor Design Verification. Search on Bibsonomy The VLSI Handbook The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Tanay Karnik Microprocessor Layout Method. Search on Bibsonomy The VLSI Handbook The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Tzuen-Lih Chern, Jerome Chang, Chien-Hung Chen, Hann-Tzong Su Microprocessor-based modified discrete integral variable-structure control for UPS. Search on Bibsonomy IEEE Trans. Ind. Electron. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Michael Golden, Steve Hesley, Alisa Scherer, Matthew Crowley, Scott C. Johnson, Stephan Meier, Dirk Meyer, Jerry D. Moench, Stuart F. Oberman, Hamid Partovi, Fred Weber, Scott White, Tim Wood 0001, John Yong A seventh-generation x86 microprocessor. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Ramesh Senthinathan, Stephen Fischer, Hamid Rangchi, Hadi Yazdanmehr A 650-MHz, IA-32 microprocessor with enhanced data streaming for graphics and video. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Masakazu Suzuoki, Ken Kutaragi, Toshiyuki Hiroi, Hidetaka Magoshi, Shin'ichi Okamoto, Masaaki Oka, Akio Ohba, Yasuyuki Yamamoto, Makoto Furuhashi, Masayoshi Tanaka, Teiji Yutaka, Toyoshi Okada, Masato Nagamatsu, Yukihiro Urakawa, Masami Funyu, Atsushi Kunimatsu, Harutaka Goto, Kazuhiro Hashimoto, Nobuhiro Ide, Hiroaki Murakami, Yukio Ohtaguro, Akira Aono A microprocessor with a 128-bit CPU, ten floating-point MAC's, four floating-point dividers, and an MPEG-2 decoder. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Carmine Nicoletta, Jose Alvarez, Eric Barkin, Chai-Chin Chao, Brad R. Johnson, Franklin M. Lassandro, Paresh Patel, Douglas Reid, Hector Sanchez, Joshua Siegel, Michael Snyder, Steven Sullivan, Scott A. Taylor, Minh Vo A 450-MHz RISC microprocessor with enhanced instruction set and copper interconnect. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Hiroyuki Mizuno, Koichiro Ishibashi, Takanori Shimura, Toshihiro Hattori, Susumu Narita, Kenji Shiozawa, Shuji Ikeda, Kunio Uchiyama An 18-μA standby current 1.8-V, 200-MHz microprocessor with self-substrate-biased data-retention mode. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Sung Bae Park, Young Wug Kim, Young Gun Ko, Kwang Il Kim, Il Kwon Kim, Hee-Sung Kang, Jin Oh Yu, Kwang Pyuk Suh A 0.25-μm, 600-MHz, 1.5-V, fully depleted SOI CMOS 64-bit microprocessor. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Anthony G. Aipperspach, David H. Allen, Dennis T. Cox, Nghia Phan, Salvatore N. Storino A 0.2-μm, 1.8-V, SOI, 550-MHZ, 64-b PowerPC microprocessor with copper interconnects. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Michael J. Flynn, Patrick Hung, Kevin W. Rudd Deep submicron microprocessor design issues. Search on Bibsonomy IEEE Micro The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Timothy J. Slegel, Robert M. Averill III, Mark A. Check, Bruce C. Giamei, Barry Krumm, Christopher A. Krygowski, W. H. Li, John S. Liptay, John D. MacDougall, Thomas J. McPherson, Jennifer A. Navarro, Eric M. Schwarz, Chung-Lung Kevin Shum, Charles F. Webb IBM's S/390 G5 microprocessor design. Search on Bibsonomy IEEE Micro The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Richard E. Kessler The Alpha 21264 microprocessor. Search on Bibsonomy IEEE Micro The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Alfredo Benso, Maurizio Rebaudengo, Matteo Sonza Reorda Fault Injection for Embedded Microprocessor-based Systems. Search on Bibsonomy J. Univers. Comput. Sci. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Peilin Song, Franco Motika, Daniel R. Knebel, Richard F. Rizzolo, Mary P. Kusko S/390 G5 CMOS microprocessor diagnostics. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Matt Reilly Designing an Alpha Microprocessor. Search on Bibsonomy Computer The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Douglas J. Leith, William E. Leithead On microprocessor-based arc voltage control for gas tungsten arc welding using gain scheduling. Search on Bibsonomy IEEE Trans. Control. Syst. Technol. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Yasushi Ishikawa, Yasuhisa Kisuki, Tadashi Sakamoto, Tomohiro Hase Speech synthesis method based on application-specific synthesis units and its implementation on a 32-bit microprocessor. Search on Bibsonomy IEEE Trans. Consumer Electron. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Yong Zhang, Kai-Kuang Ma, Qingdong Yao A software/hardware co-design methodology for embedded microprocessor core design. Search on Bibsonomy IEEE Trans. Consumer Electron. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Michael J. Flynn Basic issues in microprocessor architecture. Search on Bibsonomy J. Syst. Archit. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12David H. Albonesi, Israel Koren STATS: A framework for microprocessor and system-level design space exploration. Search on Bibsonomy J. Syst. Archit. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Alessandro De Gloria Microprocessor design for embedded system. Search on Bibsonomy J. Syst. Archit. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
Displaying result #1801 - #1900 of 4097 (100 per page; Change: )
Pages: [<<][9][10][11][12][13][14][15][16][17][18][19]
[20][21][22][23][24][25][26][27][28][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license