The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for ATPGs with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1996-2006 (16) 2009 (1)
Publication types (Num. hits)
article(1) inproceedings(16)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 23 occurrences of 19 keywords

Results
Found 17 publication records. Showing 17 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
64Franco Fummi, Graziano Pravadelli Logic-level analysis of high-level faults. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF fault models, functional verification
57Chunduri Rama Mohan, Srobona Mitra, Partha Pal Chaudhuri On Incorporation of BIST for the Synthesis of Easily and Fully Testable Controllers. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF fully testable controllers, testing scheme, Cadence, target library, built-in self test, cellular automata, VHDL, ATPGs, BIST, testability, FSMs, partial scan, VERILOG, area overhead, RTL designs, SYNERGY, full scan, stuck-at fault model
40Fulvio Corno, Paolo Prinetto, Maurizio Rebaudengo, Matteo Sonza Reorda, R. Mosca Advanced Techniques for GA-based sequential ATPGs. Search on Bibsonomy ED&TC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
40Fulvio Corno, Paolo Prinetto, Maurizio Rebaudengo, Matteo Sonza Reorda Partial Scan Flip Flop Selection for Simulation-Based Sequential ATPGs. Search on Bibsonomy ITC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
40Fulvio Corno, Paolo Prinetto, Maurizio Rebaudengo, Matteo Sonza Reorda Comparing Topological, Symbolic and GA-based ATPGs: An Experimental Approach. Search on Bibsonomy ITC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
21Davide Bresolin, Giuseppe Di Guglielmo, Franco Fummi, Graziano Pravadelli, Tiziano Villa The impact of EFSM composition on functional ATPG. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Giuseppe Di Guglielmo, Franco Fummi, Cristina Marconcini, Graziano Pravadelli EFSM Manipulation to Increase High-Level ATPG Effectiveness. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Giuseppe Di Guglielmo, Franco Fummi, Cristina Marconcini, Graziano Pravadelli Improving Gate-Level ATPG by Traversing Concurrent EFSMs. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Mahilchi Milir Vaseekar Kumar, Spyros Tragoudas Low power test generation for path delay faults using stability functions. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low power, ATPG, path delay faults
21Santanu Chattopadhyay, Naveen Choudhary Genetic Algorithm based Approach for Low Power Combinational Circuit Testing. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Fabrizio Ferrandi, Franco Fummi, Donatella Sciuto Test Generation and Testability Alternatives Exploration of Critical Algorithms for Embedded Applications. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Testing of embedded systems, VHDL, ATPG, fault modeling, testability analysis
21Maria K. Michael, Spyros Tragoudas ATPG for Path Delay Faults without Path Enumeration. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Janusz Sosnowski, Tomasz Wabia, Tomasz Bech Path Delay Fault Testability Analysis. Search on Bibsonomy DFT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Fulvio Corno, Matteo Sonza Reorda, Giovanni Squillero High-Level Observability for Effective High-Level ATPG. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Silvia Chiusano, Fulvio Corno, Paolo Prinetto RT-level TPG Exploiting High-Level Synthesis Information. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Junzhi Sang, Tsuyoshi Shinogi, Haruhiko Takase, Terumine Hayashi On a Logical Fault Model H1SGLF for Enhancing Defect Coverage. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
21Fabrizio Ferrandi, Franco Fummi, Donatella Sciuto Implicit test generation for behavioral VHDL models. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #17 of 17 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license