The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase Complex-instruction (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1983-2003 (17) 2005-2023 (8)
Publication types (Num. hits)
article(9) inproceedings(16)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 23 occurrences of 20 keywords

Results
Found 25 publication records. Showing 25 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
34Jack W. Davidson, Richard A. Vaughan The Effect of Instruction Set Complexity on Program Size and Memory Performance. Search on Bibsonomy ASPLOS The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
26David Ryan Koes, Seth Copen Goldstein Near-optimal instruction selection on dags. Search on Bibsonomy CGO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF instruction selection
23Gabriel M. Silberman, Kemal Ebcioglu An architectural framework for migration from CISC to higher performance platforms. Search on Bibsonomy ICS The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
23Kevin J. McNeley, Veljko M. Milutinovic Emulating a Complex Instruction Set Computer with a Reduced Instruction Set Computer. Search on Bibsonomy IEEE Micro The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
22Dara Kusic, Raymond Hoare, Alex K. Jones, Joshua Fazekas, John Foster 0001 Extracting Speedup From C-Code With Poor Instruction-Level Parallelism. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Siddharth Rele, Vipin Jain, Santosh Pande, J. Ramanujam Compact and efficient code generation through program restructuringon limited memory embedded DSPs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Anjali Mahajan, M. Sadique Ali Superblock scheduling using genetic programming for embedded systems. Search on Bibsonomy IEEE ICCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18You-Sung Chang, Bong-Il Park, In-Cheol Park, Chong-Min Kyung Customization of a CISC Processor Core for Low-Power Applications. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF CISC-processor, Complex-instruction, ROM-compile, Low-power-design, Microcode
15Kuo-Su Hsiao, Chung-Ho Chen An efficient wakeup design for energy reduction in high-performance superscalar processors. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF issue window, wakeup logic, low power, high performance
14Yuzhou Huang, Liangbin Xie, Xintao Wang, Ziyang Yuan, Xiaodong Cun, Yixiao Ge, Jiantao Zhou 0001, Chao Dong, Rui Huang, Ruimao Zhang, Ying Shan SmartEdit: Exploring Complex Instruction-based Image Editing with Multimodal Large Language Models. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Alexandre Solon Nery, Nadia Nedjah, Felipe Maia Galvão França, Lech Józwiak, Henk Corporaal Automatic complex instruction identification for efficient application mapping onto ASIPs. Search on Bibsonomy LASCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Armita Peymandoust, Tajana Simunic, Giovanni De Micheli Complex instruction and software library mapping for embedded software using symbolic algebra. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14David R. Stiles, Harold L. McFarland Pipeline control for a single cycle VLSI implementation of a complex instruction set computer. Search on Bibsonomy COMPCON The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
14Michael J. Flynn, Chad L. Mitchell, Johannes M. Mulder And Now a Case for More Complex Instruction Sets. Search on Bibsonomy Computer The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
14Richard L. Norton, Jacob A. Abraham Adaptive Interpretation as a Means of Exploiting Complex Instruction Sets Search on Bibsonomy ISCA The full citation details ... 1983 DBLP  DOI  BibTeX  RDF
14Pedro Furtado 0001, Henrique Madeira Fault Injection Evaluation of Assigned Signatures in a RISC Processor. Search on Bibsonomy EDCC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
11Alex K. Jones, Raymond Hoare, Dara Kusic, Gayatri Mehta, Joshua Fazekas, John Foster 0001 Reducing power while increasing performance with supercisc. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Low-power, synthesis, VLIW, predication, multicore architectures
11Cesare Alippi, William Fornaciari, Laura Pozzi, Mariagiovanna Sami Determining the Optimum Extended Instruction-Set Architecture for Application Specific Reconfigurable VLIW CPUs. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Partha Biswas, Nikil D. Dutt Code Size Reduction in Heterogeneous-Connectivity-Based DSPs Using Instruction Set Extensions. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF DSP, VLIW, ASIP, Coprocessors, instruction set extensions, code size reduction
10Brian Slechta, David Crowe, Brian Fahs, Michael Fertig, Gregory A. Muthler, Justin Quek, Francesco Spadini, Sanjay J. Patel, Steven Lumetta Dynamic Optimization of Micro-Operations. Search on Bibsonomy HPCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Partha Biswas, Nikil D. Dutt Reducing code size for heterogeneous-connectivity-based VLIW DSPs through synthesis of instruction set extensions. Search on Bibsonomy CASES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF dependence conflict graph, heterogeneous-connectivity-based DSP, restricted data dependence graph, instruction set extensions, instruction set architecture, static single assignment
10Uming Ko, Poras T. Balsara, Ashwini K. Nanda Energy optimization of multilevel cache architectures for RISC and CISC processors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Shailesh Sutarwala, Pierre G. Paulin Flexible modeling environment for embedded systems design. Search on Bibsonomy CODES The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
6Todd A. Proebsting BURS Automata Generation. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF code-generator generator, dynamic programming, code generation, tree pattern matching
6Yashwant K. Malaiya, Sheng Feng Design of a testable RISC-to-CISC control architecture. Search on Bibsonomy MICRO The full citation details ... 1988 DBLP  BibTeX  RDF RISC
Displaying result #1 - #25 of 25 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license