The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Consumption with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1961-1985 (19) 1986-1988 (18) 1989-1990 (19) 1991-1993 (33) 1994 (26) 1995 (58) 1996 (60) 1997 (88) 1998 (129) 1999 (240) 2000 (253) 2001 (340) 2002 (586) 2003 (806) 2004 (1014) 2005 (1510) 2006 (1792) 2007 (1929) 2008 (1809) 2009 (1182) 2010 (583) 2011 (443) 2012 (550) 2013 (668) 2014 (682) 2015 (715) 2016 (730) 2017 (695) 2018 (770) 2019 (870) 2020 (742) 2021 (807) 2022 (933) 2023 (986) 2024 (205)
Publication types (Num. hits)
article(6647) book(4) data(28) incollection(56) inproceedings(15419) phdthesis(134) proceedings(2)
Venues (Conferences, Journals, ...)
CoRR(592) ISCAS(431) ISLPED(277) DATE(264) IEEE Access(255) IEEE Trans. Very Large Scale I...(245) DAC(212) ASP-DAC(173) VLSI Design(173) PATMOS(167) IPDPS(164) ISCAS (1)(159) IEEE Trans. Comput. Aided Des....(153) Sensors(151) ACM Great Lakes Symposium on V...(139) ICCD(116) More (+10 of total 3501)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 12591 occurrences of 3975 keywords

Results
Found 22290 publication records. Showing 22290 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
48Jaume A. Segura 0001, Miquel Roca 0001, Diego Mateo, Antonio Rubio 0001 An approach to dynamic power consumption current testing of CMOS ICs. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF dynamic power consumption current testing, logic behavior, parametric defect, quiescent power supply current testing, consumption current testing time, on-chip sensor, static power consumption, fault diagnosis, logic testing, integrated circuit testing, automatic testing, adders, CMOS logic circuits, I/sub DDQ/ testing, CMOS ICs, full adders, open defects, electric current measurement, bridging defects, transient current
46Jing Du 0002, Xuejun Yang, Zhiyun Wang Effective runtime scalability metric to measure productivity in high performance computing systems. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF high performance computing system, scalability metric, reliability, productivity, energy consumption, computing performance
45Debashis Basak, Dhabaleswar K. Panda 0001 Alleviating Consumption Channel Bottleneck in Wormhole-Routed k-ary n-Cube Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF consumption channel, hot-spot traffic and interprocessor communication, wormhole routing, adaptive routing, virtual channel, k-ary n-cube, Parallel computer architecture, deterministic routing
43Dushyanth Narayanan, Jason Flinn, Mahadev Satyanarayanan Using history to improve mobile application adaptation. Search on Bibsonomy WMCSA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF mobile application adaptation, history-based mechanism, CPU consumption, complex graphics application, Web image rendering, Internet, mobile computing, energy consumption, mobile environment, information resources, rendering (computer graphics), resource consumption
42Tsung-Han Lee, Alan Marshall 0001, Bosheng Zhou Modeling Energy Consumption in Error-Prone IEEE 802.11-Based Wireless Ad-Hoc Networks. Search on Bibsonomy MMNS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Ad-Hoc Energy consumption, error-prone, IEEE 802.11, DCF
41Hangu Yeo, Yu Hen Hu A Novel Matching Criterion And Low Power Architecture For Real-Time Block Based Motion Estimation. Search on Bibsonomy ASAP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF matching criterion, real-time block based motion estimation, video signals, binary level matching criterion, bit-wise comparison, processor level design, total power consumption, motion estimation, power consumption, power consumption, video signal processing, combinational logic, low power architecture
37Keith Boyle, Sai Mohan Kilambi, Rafal Dlugosz, Kris Iniewski, Vincent C. Gaudet An Examination of the Effect of Feature Size Scaling on Effective Power Consumption in Analog to Digital Converters. Search on Bibsonomy SiPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
37S. R. Subramanya, Byung K. Yi A model for mobile entertainment content consumption. Search on Bibsonomy Advances in Computer Entertainment Technology The full citation details ... 2006 DBLP  DOI  BibTeX  RDF consumption model, content consumption, mobile content
35Jonathan A. Clarke, George A. Constantinides, Peter Y. K. Cheung Word-length selection for power minimization via nonlinear optimization. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF word length, synthesis, signal processing, Power consumption, power consumption, bitwidth
34Bo Sun, Suixiang Gao, Rui Chi, Fei Huang Algorithms for balancing energy consumption in wireless sensor networks. Search on Bibsonomy FOWANC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF cluster, sensor networks, energy consumption, lifetime
34Minoru Etoh, Tomoyuki Ohya, Yuji Nakayama Energy Consumption Issues on Mobile Network Systems. Search on Bibsonomy SAINT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Moble Network, CO2, Power Consumption, Battery, Green Network
34Xiaoya Li, Daoping Huang, Zonghai Sun A Routing Protocol for Balancing Energy Consumption in Heterogeneous Wireless Sensor Networks. Search on Bibsonomy MSN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF balance energy consumption, Wireless sensor networks, routing protocol
34Andrés David García García, Luis Fernando González Pérez, Reynaldo Félix Acuña Power Consumption Management on FPGAs. Search on Bibsonomy CONIELECOMP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Genetic Algorithms, Field Programmable Gate Array, Power Consumption, Partial Reconfiguration, Circuit Design
34Janardhan H. Satyanarayana, Keshab K. Parhi, Leilei Song, Yun-Nan Chang Systematic analysis of bounds on power consumption in pipelined and non-pipelined multipliers. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF pipelined multipliers, nonpipelined multipliers, Baugh-Wooley multiplier, binary tree multiplier, Wallace tree multiplier, STDs, sub circuits, energy values, cubic dependence, word length, quadratic dependence, digital CMOS circuits, CMOS adder, low power arithmetic units, power consumption, power consumption, switching activity, state transition diagrams
34Emre Kaymaz Adaptive Environmental Control for Optimal Energy Consumption in Hospitals. Search on Bibsonomy CBMS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF environmental engineering, adaptive environmental control, optimal energy consumption, second order dynamical model, heating/cooling process, hospital building, FLC, if-then control rules, PI/PID controllers, on/off controller, fuzzy control, energy consumption, digital simulation, fuzzy logic controller, hospitals, medical computing, cooling, computerised control, heating
32Ching-Wen Chen, Chang-Jung Ku, Chih-Hung Chang Designing a High Performance and Low Energy-Consuming Embedded System with Considering Code Compressed Environments. Search on Bibsonomy RTCSA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF decompression engine, performance, Embedded system, locality, power consumption, code compress
32Edgar G. Daylight, T. Fermentel, Chantal Ykman-Couvreur, Francky Catthoor Incorporating energy efficient data structures into modular software implementations for internet-based embedded systems. Search on Bibsonomy Workshop on Software and Performance The full citation details ... 2002 DBLP  DOI  BibTeX  RDF on-chip memory footprint, partitioned data structure, energy consumption
32Fayez Mohamood, Michael B. Healy, Sung Kyu Lim, Hsien-Hsin S. Lee Noise-Direct: A Technique for Power Supply Noise Aware Floorplanning Using Microarchitecture Profiling. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF wire-length driven floorplan, noise-direct, power supply noise aware floorplanning, microarchitecture profiling, aggressive power saving techniques, power delivery network, power consumption reduction, self weighting, correlation weighting, force-directed floorplanning algorithm, power pin affinity, current consumption, di/dt control, supply-noise margin violations, clock-gating, microprocessor designers, power constraints, inductive noise, decoupling capacitances
32Jorgen Peddersen, Sri Parameswaran CLIPPER: Counter-based Low Impact Processor Power Estimation at Run-time. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF dynamic power optimization, CLIPPER, counter-based low impact processor, run-time power optimization, power consumption, energy consumption
32William Lloyd Bircher, Lizy K. John Complete System Power Estimation: A Trickle-Down Approach Based on Performance Events. Search on Bibsonomy ISPASS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF power sensing hardware, complete system power estimation, microprocessor performance event, microprocessor performance counter, online measurement, complete system power consumption, microprocessor power, on-chip performance event counter, system power consumption estimation, power model
31Chun-Hao Hsu, Jian Jhen Chen, Shiao-Li Tsao Evaluation and modeling of power consumption of a heterogeneous dual-core processor. Search on Bibsonomy ICPADS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Naif Abdo Alsharabi, Renfa Li, Maan Younis Abdullah, Fanzi Zeng Equitable distribution energy consumption of wsns. Search on Bibsonomy FOWANC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF duplicate dropping, clustering, sensor networks, energy consumption, tasks distribution
31Jian-Jia Chen Expected energy consumption minimization in DVS systems with discrete frequencies. Search on Bibsonomy SAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF expected energy consumption minimization, probability, dynamic voltage scaling, energy-efficient scheduling
31Chiyoung Seo, Sam Malek, Nenad Medvidovic Component-Level Energy Consumption Estimation for Distributed Java-Based Software Systems. Search on Bibsonomy CBSE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Java, Distributed systems, energy consumption, component-based software
31Minjie Xu, Zhaoguang Hu, Xiaoyou Jiao, Junyong Wu A Hybrid Social Model for Simulating the Effects of Policies on Residential Power Consumption. Search on Bibsonomy IDEAL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Residential power consumption, Power price policy, Saving electricity, Social influence model, Multi-agent systems (MAS)
31Xiuli Chao, K. K. Lai, Shouyang Wang, Mei Yu Optimal Consumption Portfolio and No-Arbitrage with Nonproportional Transaction Costs. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF no-arbitrage, optimal consumption, bid-ask spreads, transaction costs, portfolio selection
31Roger D. Chamberlain, Eric Hemmeter, Robert Morley, Jason White Modeling the Power Consumption of Audio Signal Processing Computations Using Customized Numerical Representations. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF numerical representation, power consumption, audio signal processing
31Marcos Pereira Estellita Lins, Angela Cristina Moreira da Silva, Luiz Pinguelli Rosa Regional Variations in Energy Consumption of Appliances: Conditional Demand Analysis Applied to Brazilian Households. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Conditional Demand Analysis, end use planning, household electricity consumption
31Raffaele Bruno 0001, Marco Conti, Enrico Gregori Optimization of Efficiency and Energy Consumption in p-Persistent CSMA-Based Wireless LANs. Search on Bibsonomy IEEE Trans. Mob. Comput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF random access protocol, protocol capacity, performance analysis, Wireless LAN (WLAN), IEEE 802.11, energy consumption
31Stefan Gerstendörfer, Hans-Joachim Wunderlich Minimized Power Consumption for Scan-Based BIST. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF build-in self-test (BIST), power consumption
31S. C. Prasad, Kaushik Roy 0001 Circuit optimization for minimisation of power consumption under delay constraint. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF power consumption minimisation, internal capacitances, series-connected transistors, multipass algorithm, transistor reordering, VLSI, delays, logic design, logic CAD, circuit layout CAD, CMOS logic circuits, minimisation, circuit optimisation, integrated circuit layout, VLSI circuits, logic gates, capacitance, circuit optimization, delay constraint, CMOS gates
31Takuro Inoue, Ailixier Aikebaier, Tomoya Enokido, Makoto Takizawa 0001 A Power Consumption Model of a Storage Server. Search on Bibsonomy NBiS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Storage-based Applications, Power consumption model, Power consumption, Digital ecosystem
31Liang Kai, Xiaofang Zhang, Xiao Zhang Research on Energy Consumption of General Network Storage System. Search on Bibsonomy NAS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF storage network, energy consumption model, analysis of workload, energy consumption, mass storage system
30James Stewart The social consumption of information and communication technologies (ICTs): insights from research on the appropriation and consumption of new ICTs in the domestic environment. Search on Bibsonomy Cogn. Technol. Work. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Consumption Home spaces ICTs
29Le Yan, Jiong Luo, Niraj K. Jha Joint dynamic voltage scaling and adaptive body biasing for heterogeneous distributed real-time embedded systems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Patrick Girard 0001, Loïs Guiller, Christian Landrault, Serge Pravossoudovitch An adjacency-based test pattern generator for low power BIST design. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF low-power electronics, adjacency-based test pattern generator, low power BIST design, pseudo-random TPG, test-per-clock BIST, peak power consumption, total energy consumption, strongly connected circuits, VLSI, fault diagnosis, logic testing, built-in self test, integrated circuit testing, automatic test pattern generation, fault coverage, test length
28Qin Wang, Woodward Yang Energy Consumption Model for Power Management in Wireless Sensor Networks. Search on Bibsonomy SECON The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Gilles Barthe, Mariela Pavlova, Gerardo Schneider Precise Analysis of Memory Consumption using Program Logics. Search on Bibsonomy SEFM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Aqeel Mahesri, Vibhore Vardhan Power Consumption Breakdown on a Modern Laptop. Search on Bibsonomy PACS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Surendar Chandra Wireless network interface energy consumption: Implications for popular streaming formats. Search on Bibsonomy Multim. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
28Tat Kee Tan, Anand Raghunathan, Niraj K. Jha A simulation framework for energy-consumption analysis of OS-driven embedded applications. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
28Yann-Hang Lee, C. Mani Krishna 0001 Voltage-Clock Scaling for Low Energy Consumption in Real-Time Embedded Systems. Search on Bibsonomy RTCSA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
28Minjie Xu, Zhaoguang Hu, Baoguo Shan, Xiandong Tan Electricity Consumption Simulation Based on Multi-agent System. Search on Bibsonomy IDEAL The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Policy simulation, Agent-based simulation, Electricity consumption
28Rafaella Fiorelli, Fernando Silveira, Eduardo J. Peralías Phase noise - consumption trade-off in low power RF-LC-VCO design in micro and nanometric technologies. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF design methodology, power consumption, VCO, radio-frequency
28Tae-Jung Yun Investigating the impact of a minimalist in-home energy consumption display. Search on Bibsonomy CHI Extended Abstracts The full citation details ... 2009 DBLP  DOI  BibTeX  RDF awareness, field study, energy consumption, sustainability
28Marshini Chetty, David Tran, Rebecca E. Grinter Getting to green: understanding resource consumption in the home. Search on Bibsonomy UbiComp The full citation details ... 2008 DBLP  DOI  BibTeX  RDF domestic conservation, residential resource consumption, sustainability
28Kazuya Murao, Tsutomu Terada, Yoshinari Takegawa, Shojiro Nishio A Context-Aware System that Changes Sensor Combinations Considering Energy Consumption. Search on Bibsonomy Pervasive The full citation details ... 2008 DBLP  DOI  BibTeX  RDF context awareness, Wearable computing, power consumption, wearable sensors
28Gamal Said, Angel Smrikarov, Tsvetozar Georgiev, Dimitar Stanchev Results of the computer simulation of the fuel consumption of automobiles in acceleration process. Search on Bibsonomy CompSysTech The full citation details ... 2007 DBLP  DOI  BibTeX  RDF fuel consumption, computer simulation, acceleration, automobiles
28Fady Shebli, Iyad Dayoub, Jean Michel Rouvaen, Abdelouahib Zaouche A New Optimization Approach for Energy Consumption within Wireless Sensor Networks. Search on Bibsonomy AICT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Clustering, Wireless sensor networks, Routing, Energy consumption
28Mahmut T. Kandemir Reducing energy consumption of multiprocessor SoC architectures by exploiting memory bank locality. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Banked memory systems, bank locality, compiler optimization, energy consumption, multiprocessor SoC
28Domingo Benitez, Juan C. Moure, Dolores Rexachs, Emilio Luque Evaluation of the field-programmable cache: performance and energy consumption. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF adaptive processors, reconfigurable cache memory, static and dynamic energy consumption, performance evaluation, run-time adaptation
28Noureddine Chabini, Ismaïl Chabini, El Mostapha Aboulhamid, Yvon Savaria Unification of basic retiming and supply voltage scaling to minimize dynamic power consumption for synchronous digital designs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF supply voltage scaling, performance, power consumption, CMOS, retiming, digital design
28Patrick Girard 0001, Loïs Guiller, Christian Landrault, Serge Pravossoudovitch Circuit Partitioning for Low Power BIST Design with Minimized Peak Power Consumption. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF BIST Design, Test, Low-power Design, Energy Consumption
28Atila Alvandpour, Per Larsson-Edefors, Christer Svensson Separation and extraction of short-circuit power consumption in digital CMOS VLSI circuits. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF short-circuit current, power consumption, power estimation
28Anand Raghunathan, Sujit Dey, Niraj K. Jha Register-transfer level estimation techniques for switching activity and power consumption. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF gate-level implementation, register-transfer level estimation, logic design, power consumption, switching activity, glitching, RTL designs
26Avi Mendelson Memory management challenges in the power-aware computing era. Search on Bibsonomy ISMM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Yong-Man Cho, Seungjae Lee 0001, Changhwa Kim, Sangkyung Kim An Energy Scheduling Algorithm for Ensuring the Pre-determined Lifetime in Sensor Network. Search on Bibsonomy EUC Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF energy scheduling algorithm, pre-determined lifetime, energy consumption model
26Le Yan, Lin Zhong 0001, Niraj K. Jha User-perceived latency driven voltage scaling for interactive applications. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF adaptive body biasing, computer responsiveness, dynamic voltage scaling, power consumption
26Rajendra V. Boppana, Suresh Chalasani, Cauligi S. Raghavendra Resource Deadlocks and Performance of Wormhole Multicast Routing Algorithms. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Consumption channels, multicasts, routing algorithms, deadlocks, wormhole routing, multicomputers
26Joep L. W. Kessels VLSI programming of a low-power asynchronous Reed-Solomon decoder for the DCC player. Search on Bibsonomy ASYNC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF digital audio tape, VLSI programming, low-power asynchronous Reed-Solomon decoder, DCC player, Tangram, minimal power dissipation, low-power cost-effective design, VLSI, logic programming, power consumption, power consumption, asynchronous circuits, asynchronous circuit, decoding, Reed-Solomon codes
26Ching-Long Su, Alvin M. Despain Cache designs for energy efficiency. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF cache design techniques, superpipelined processors, cache energy consumption estimation, block buffering, cache sub-banking, Gray code addressing, instruction cache designs, consecutive accessing, energy efficiency, microprocessors, power consumption, energy conservation, data caches, cache storage, superscalar processors, Gray codes, energy reduction, CMOS memory circuits
25Jad El-Najjar Minimizing energy consumption vs maximizing network stability in mobile WiMAX. Search on Bibsonomy MOBIWAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF scheduling, routing, mobility, stability, mesh, energy, power control, wimax, interference, centralized
25Diana Bautista, Julio Sahuquillo, Houcine Hassan, Salvador Petit, José Duato Dynamic task set partitioning based on balancing memory requirements to reduce power consumption. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF scheduling, real-time, multithreaded, multicore, power-aware, coarse-grain
25Helmut Hlavacs, Georges Da Costa, Jean-Marc Pierson Energy Consumption of Residential and Professional Switches. Search on Bibsonomy CSE (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
25Carmen Badea, Alexandru Nicolau, Alexander V. Veidenbaum Impact of JVM superoperators on energy consumption in resource-constrained embedded systems. Search on Bibsonomy LCTES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF superoperators, embedded systems, java virtual machine, energy estimation, profile-guided optimization
25Masahiro Shibata, Takehiro Yamakoshi, Ken-ichi Yamakoshi Oxygen consumption by vascular wall in skeletal muscle arterioles under physiological conditions. Search on Bibsonomy BIBE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25José Carlos S. Palma, Ricardo A. L. Reis, Leandro Soares Indrusiak, Alberto García Ortiz, Manfred Glesner, Fernando Gehm Moraes Evaluating the Impact of Data Encoding Techniques on the Power Consumption in Networks-on-Chip. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Takashi Miyajima, Kenji Kawashima, Toshinori Fujita, Kazutoshi Sakaki, Toshiharu Kagawa Air Consumption of Pneumatic Servo Table System. Search on Bibsonomy AsiaSim The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Angelo Brayner, André L. V. Coelho, Karina Marinho de Souza Dealing with application requirements and energy consumption in wireless sensor networks: a novelty detection approach for quality of query services. Search on Bibsonomy SAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF quality of query services, wireless sensor networks, query processing, energy consumption, novelty detection
25Jindrich Sadil Neural models predicting traction power consumption: support of e-energy telematic systems. Search on Bibsonomy EATIS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF traction power consumption, artificial neural networks, prediction models
25Yen-Jun Chen, Ching-Hsien Hsu, Kuan-Ching Li, Hsi-Ya Chang, Shuen-Tai Wang Power Consumption Optimization of MPI Programs on Multi-core Clusters. Search on Bibsonomy Infoscale The full citation details ... 2009 DBLP  DOI  BibTeX  RDF MPI, cluster Computing, Power Consumption, multi-core processor
25Gustavo Rau de Almeida Callou, Paulo Romero Martins Maciel, Ermeson Carneiro de Andrade, Bruno Costa e Silva Nogueira, Eduardo Antonio Guimarães Tavares A coloured petri net based approach for estimating execution time and energy consumption in embedded systems. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF simulation, energy consumption, embedded software, coloured petri net, execution time
25Sotaro Ohara, Makoto Suzuki, Shunsuke Saruwatari, Hiroyuki Morikawa A Prototype of a Multi-core Wireless Sensor Node for Reducing Power Consumption. Search on Bibsonomy SAINT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multi-core CPU, sensor network, hard real-time, low power consumption
25Jaehoon Jung, Seungmoon Choi Perceived Magnitude and Power Consumption of Vibration Feedback in Mobile Devices. Search on Bibsonomy HCI (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Vibration feedback, vibration motor, perceived magnitude, mobile device, power consumption
25Songah Chae, Doo-Hyun Kim, Changhee Jung, Duk-Kyun Woo, Chaedeok Lim Experimental Analysis on Time-Triggered Power Consumption Measurement with DVS-Enabled Multiple Power Domain Platform. Search on Bibsonomy SEUS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Power Consumption Measurement, Dynamic Voltage Scaling, Embedded Software
25Christos Koulamas, Aggeliki S. Prayati, Gauthier Lafruit, George D. Papadopoulos Measurements and modeling of resource consumption in wireless video streaming: the decoder case. Search on Bibsonomy WMuNeP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF CPU cycles, time, energy, video streaming, resource consumption
25Sidi-Mohammed Senouci, Mohamed Naimi New routing for balanced energy consumption in mobile ad hoc networks. Search on Bibsonomy PE-WASUN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF balanced energy consumption, mobile ad hoc networks (MANET), ad hoc routing
25Jayaprakash Pisharath, Alok N. Choudhary, Mahmut T. Kandemir Reducing energy consumption of queries in memory-resident database systems. Search on Bibsonomy CASES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF hardware schemes, query-directed energy management, database, mapping, query optimization, energy, power consumption, layouts, DRAM
25Hajime Shimada, Hideki Ando, Toshio Shimada Pipeline stage unification: a low-energy consumption technique for future mobile processors. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF future process technology, pipeline stage, dynamic voltage scaling, low-power consumption
25Terry Tao Ye, Giovanni De Micheli, Luca Benini Analysis of power consumption on switch fabrics in network routers. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF interconnect networks, systems on chip, networks on chip, power consumption
25Kyungtae Woo, Chansu Yu, Dongman Lee, Hee Yong Youn, Ben Lee Non-Blocking, Localized Routing Algorithm for Balanced Energy Consumption in Mobile Ad Hoc Networks. Search on Bibsonomy MASCOTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Mobile ad hoc networks, wireless communication, energy consumption, source routing
25Manjit Borah, Mary Jane Irwin, Robert Michael Owens Minimizing power consumption of static CMOS circuits by transistor sizing and input reordering. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF power consumption minimisation, static CMOS circuits, input reordering, high fan-out gates, power constrained module generator, PowerSizer, logic CAD, circuit layout CAD, CMOS logic circuits, logic circuits, minimisation, arithmetic circuits, circuit optimisation, integrated circuit layout, transistor sizing
23Chun Jason Xue, Zhaohui Yuan, Guoliang Xing, Zili Shao, Edwin Hsing-Mean Sha Energy Efficient Operating Mode Assignment for Real-Time Tasks in Wireless Embedded Systems. Search on Bibsonomy RTCSA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Jian-Jia Chen, Lothar Thiele Energy-efficient scheduling on homogeneous multiprocessor platforms. Search on Bibsonomy SAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF static power consumption, dynamic voltage scaling, real-time scheduling, task partitioning
23Marius Marcu, Dacian Tudor, Sebastian Fuicu Power efficiency analysis of multimedia secured mobile applications. Search on Bibsonomy IWCMC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF encoding/decoding, encryption/decryption, mobile devices, energy efficiency, wireless communication, power consumption, multimedia applications
23Marius Marcu, Dacian Tudor, Sebastian Fuicu Towards a network-device unified framework for power-aware wireless applications. Search on Bibsonomy IWCMC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF execution framework, power-aware applications, energy efficiency, power consumption, wireless applications
23Lei Liu 0021, Guanhua Yan, Xinwen Zhang, Songqing Chen VirusMeter: Preventing Your Cellphone from Spies. Search on Bibsonomy RAID The full citation details ... 2009 DBLP  DOI  BibTeX  RDF mobile malware, mobile device security, anomaly detection, power consumption
23Mohd Riduan Ahmad, Eryk Dutkiewicz, Xiaojing Huang 0001 Performance evaluation of MAC protocols for cooperative MIMO transmissions in sensor networks. Search on Bibsonomy PE-WASUN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF cooperative mimo transmissions, low power listening, wireless sensor networks, energy consumption, mac protocols
23Ahmad Patooghy, Mahdi Fazeli, Seyed Ghassem Miremadi A Low-Power and SEU-Tolerant Switch Architecture for Network on Chips. Search on Bibsonomy PRDC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF SEU-Tolerance, Power Consumption, NoC
23Howon Kim 0001, Mun-Kyu Lee, Dong Kyue Kim, Sang-Kyoon Chung, Kyoil Chung Design and Implementation of Crypto Co-processor and Its Application to Security Systems. Search on Bibsonomy CIS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Crypto Algorithm, Power Consumption, Crypto Coprocessor
23Jürgen Becker 0001, Michael Hübner 0001, Michael Ullmann Power Estimation and Power Measurement of Xilinx Virtex FPGAs: Trade-Offs and Limitations. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Virtex FPGA, runtime reconfiguration, power consumption
23Mladen Nikitovic, Mats Brorsson An adaptive chip-multiprocessor architecture for future mobile terminals. Search on Bibsonomy CASES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF chip-multiprocessor (CMP), power consumption, mobile terminals, energy-aware scheduling
23Jo C. Ebergen, Daniel F. Finchelstein, Russell Kao, Jon K. Lexau, David Hopkins 0001 An Evaluation of Asynchronous Stacks. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF static-energy consumption, dynamic-energy consumption, asynchronous circuits, stack, design and test, LIFO
23Joffrey Kriegel Caractérisation de la performance temporelle et de la consommation électrique de systèmes embarqués basés sur des plates-formes multiprocesseurs/coeurs et mettant en oeuvre du logiciel temps réel : FORECAST : perFORmance and Energy Consumption AnalysiS Tool. (Performance and power consumption characterisation of embedded systems for multiprocessor/multicore platforms supporting real time software. : FORECAST : perFORmance and Energy Consumption AnalysiS Tool). Search on Bibsonomy 2013   RDF
22César A. M. Marcon, Sergio Johann Filho, Fabiano Hessel A VHDL based approach for fast and accurate energy consumption estimations. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Inwhee Joe, Won-Tae Kim, Seokjoon Hong A Network Selection Algorithm considering Power Consumption in Hybrid Wireless Networks. Search on Bibsonomy ICCCN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Jinhua Zhu, Chunming Qiao, Xin Wang 0001 On Accurate Energy Consumption Models for Wireless Ad Hoc Networks. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Shiwen Hu, Lizy Kurian John Impact of virtual execution environments on processor energy consumption and hardware adaptation. Search on Bibsonomy VEE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF hardware adaptation, energy efficiency, power dissipation
22Dominique Larchey-Wendling Bounding Resource Consumption with Gödel-Dummett Logics. Search on Bibsonomy LPAR The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Alejandro Millán Calderón, Manuel Jesús Bellido Díaz, Jorge Juan-Chico, Paulino Ruiz-de-Clavijo, David Guerrero Martos, Enrique Ostúa, Julian Viejo Application of Internode Model to Global Power Consumption Estimation in SCMOS Gates. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 22290 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license