The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for DEBUG with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1965-1984 (15) 1985-1989 (20) 1990 (21) 1991-1992 (21) 1993 (21) 1994 (16) 1995-1996 (20) 1997-1998 (29) 1999 (30) 2000 (21) 2001 (31) 2002 (39) 2003 (48) 2004 (63) 2005 (52) 2006 (77) 2007 (105) 2008 (95) 2009 (65) 2010 (42) 2011 (38) 2012 (32) 2013 (33) 2014 (34) 2015 (39) 2016 (30) 2017 (26) 2018 (22) 2019 (24) 2020 (26) 2021 (21) 2022 (31) 2023-2024 (19)
Publication types (Num. hits)
article(226) incollection(2) inproceedings(970) phdthesis(8)
Venues (Conferences, Journals, ...)
ITC(59) DAC(35) DATE(35) CoRR(28) VTS(28) IEEE Des. Test Comput.(26) FPL(20) MTV(16) IEEE Trans. Very Large Scale I...(15) ISQED(15) SIGCSE(14) ETS(13) FPT(13) IEEE Trans. Software Eng.(12) IEEE Trans. Comput. Aided Des....(11) ISSTA(11) More (+10 of total 475)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 883 occurrences of 557 keywords

Results
Found 1206 publication records. Showing 1206 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
118Bart Vermeulen, Kees Goossens, Siddharth Umrani Debugging Distributed-Shared-Memory Communication at Multiple Granularities in Networks on Chip. Search on Bibsonomy NOCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF communication-centric debug, debug, network-on-chip, design for debug
99Miron Abramovici, Paul Bradley, Kumar N. Dwarakanath, Peter Levin, Gérard Memmi, Dave Miller A reconfigurable design-for-debug infrastructure for SoCs. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF assertion-based debug, at-speed debug, what-if experiments, silicon debug
95Shan Tang, Qiang Xu 0001 A multi-core debug platform for NoC-based systems. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
91Sandeep Kumar Goel, Bart Vermeulen Data Invalidation Analysis for Scan-Based Debug on Multiple-Clock System Chips. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF scan-based debug, Design-for-Debug (DfD), clock gating, silicon debug, multiple-clock domains
86Kees Goossens, Bart Vermeulen, Remco van Steeden, Martijn T. Bennebroek Transaction-Based Communication-Centric Debug. Search on Bibsonomy NOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
83Harald P. E. Vranken Debug Facilities in the TriMedia CPU64 Architecture. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF application debug, VLIW processor, design-for-debug
82Anant Vishnoi, Preeti Ranjan Panda, M. Balakrishnan Online cache state dumping for processor debug. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF cache compression, processor debug, silicon debug, design for debug, post-silicon validation
78Bart Vermeulen, Neal Stollon, Rolf Kühnis, Gary Swoboda, Jeff Rearick Overview of Debug Standardization Activities. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
78Shan Tang, Qiang Xu 0001 In-band Cross-Trigger Event Transmission for Transaction-Based Debug. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
78Bart Vermeulen, Tom Waayers, Sjaak Bakker EEE 1149.1-Compliant Access Architecture for Multiple Core Debug on Digital System Chips. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
77Mohamed-Wassim Youssef, Sungjoo Yoo, Arif Sasongko, Yanick Paviot, Ahmed Amine Jerraya Debugging HW/SW interface for MPSoC: video encoder system design case study. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF hardware-dependant software, hardware-software interface, debug, multiprocessor system-on-chip
76Ehab Anis, Nicola Nicolici Interactive presentation: Low cost debug architecture using lossy compression for silicon debug. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
76Desta Tadesse, R. Iris Bahar, Joel Grodstein Fast Measurement of the "Non-Deterministic Zone" in Microprocessor Debug Using Maximum Likelihood Estimation. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Microprocessor Diagnosis, Pass/Fail Region, Maximum Likelihood Estimation, Silicon Debug
75Doug Josephson The good, the bad, and the ugly of silicon debug. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF design for test and debug, debug, validation, characterization
69Todd J. Foster, Dennis L. Lastor, Padmaraj Singh First Silicon Functional Validation and Debug of Multicore Microprocessors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
69Klaus D. Maier 0001 On-chip debug support for embedded Systems-on-Chip. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
69Mustafa M. Tikir, Jeffrey K. Hollingsworth, Guei-Yuan Lueh Recompilation for debugging support in a JIT-compiler. Search on Bibsonomy PASTE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Java virtual machine debugger interface, debug information, dynamic recompilation, field access watch, Java, just-in-time compilation
67Yu-Chin Hsu, Fur-Shing Tsai, Wells Jong, Ying-Tsai Chang Visibility enhancement for silicon debug. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF silicon validation, functional verification, silicon debug
67Harald P. E. Vranken, M. P. J. Stevens, M. T. M. Segers Design-For-Debug in Hardware/Software Co-Design. Search on Bibsonomy CODES The full citation details ... 1997 DBLP  DOI  BibTeX  RDF system integration and test, hardware/software co-design, design validation, design-for-debug
67Ruo Ando, Yoshiyasu Takefuji Self Debugging Mode for Patch-Independent Nullification of Unknown Remote Process Infection. Search on Bibsonomy CANS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF self-debugging mode, real-time nullification, debug register, improved debug exception handler, branchIP recorder
60Ho Fai Ko, Nicola Nicolici Algorithms for State Restoration and Trace-Signal Selection for Data Acquisition in Silicon Debug. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
60Rob Aitken, Erik Jan Marinissen Guest Editors' Introduction: Addressing the Challenges of Debug and Diagnosis. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
60Bart Vermeulen Functional Debug Techniques for Embedded Systems. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
60Andrew B. T. Hopkins, Klaus D. McDonald-Maier Debug Support for Hybrid SoCs. Search on Bibsonomy AHS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
60Andrew B. T. Hopkins, Klaus D. McDonald-Maier Debug Support Strategy for Systems-on-Chips with Multiple Processor Cores. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF multiprocessor systems, System architectures, real-time and embedded systems, debugging aids, integration and modeling
60Jianmin Zhang, Ming Yan 0003, Sikun Li Debug Support for Scalable System-on-Chip. Search on Bibsonomy MTV The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
59Lian Yu, Changzhu Kong, Lei Xu, Jingtao Zhao, HuiHui Zhang Mining Bug Classifier and Debug Strategy Association Rules for Web-Based Applications. Search on Bibsonomy ADMA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF bug mining, bug classification, debug strategy, Chi-square algorithm, SVM, association rule
59Zheng Liang, Juha Plosila, Lu Yan, Kaisa Sere On-chip Debug for an Asynchronous Java Accelerator. Search on Bibsonomy PDCAT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Java, Debug, Embedded, Asynchronous, Co-design
59Ramyanshu Datta, Antony Sebastine, Ashwin Raghunathan, Jacob A. Abraham On-chip delay measurement for silicon debug. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF design for testability, delay fault testing, silicon debug
57Ming-Chang Hsieh, Chih-Tsun Huang An embedded infrastructure of debug and trace interface for the DSP platform. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF embedded debug and trace, compression, embedded processors, digital signal processors, design for debug
57Bart Vermeulen, Mohammad Zalfany Urfianto, Sandeep Kumar Goel Automatic generation of breakpoint hardware for silicon debug. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF hardware-breakpoints, design-flow, silicon-debug, design-for-debug
51Kwang-Ting (Tim) Cheng Effective silicon debug is key for time to money. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
51Shan Tang, Qiang Xu 0001 A debug probe for concurrently debugging multiple embedded cores and inter-core transactions in NoC-based systems. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
51Joon-Sung Yang, Nur A. Touba Enhancing Silicon Debug via Periodic Monitoring. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
51Andrew B. T. Hopkins, Klaus D. McDonald-Maier Debug support for embedded processor reuse. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
51Xiao Hu, Pengyong Ma, Shuming Chen, Yang Guo, Xing Fang TraceDo: An On-Chip Trace System for Real-Time Debug and Optimization in Multiprocessor SoC. Search on Bibsonomy ISPA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
51Hari Balachandran, Kenneth M. Butler, Neil Simpson Facilitating Rapid First Silicon Debug. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
51Carol Pyron, Rekha Bangalore, Dawit Belete, Jason Goertz, Ashutosh Razdan, Denise Younger Silicon Symptoms to Solutions: Applying Design for Debug Techniques. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
51Rolf Ernst, Kees A. Vissers, Pieter van der Wolf, Gert-Jan van Rootselaar System level design and debug of high-performance embedded media systems (tutorial). Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  BibTeX  RDF
50Joon-Sung Yang, Nur A. Touba Expanding Trace Buffer Observation Window for In-System Silicon Debug through Selective Capture. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Trace Buffer Observation Window, Two-Dimensional (2-D) Compaction, Cycling Register, Silicon Debug, MISR
50Stefan Holst, Hans-Joachim Wunderlich Adaptive Debug and Diagnosis without Fault Dictionaries. Search on Bibsonomy ETS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF VLSI, Test, Debug, Diagnosis
42Yibin Chen, Sean Safarpour, Andreas G. Veneris, João Marques-Silva 0001 Spatial and temporal design debug using partial MaxSAT. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF maximum satisfiability, design debugging
42Brent E. Nelson, Brad L. Hutchings, Michael J. Wirthlin Design, Debug, Deploy: The Creation of Configurable Computing Applications. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF CAD for configurable computing, FPGA, design environments, configurable computing
42Tzi-cker Chiueh Fast Bounds Checking Using Debug Register. Search on Bibsonomy HiPEAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
42Marc Boule, Jean-Samuel Chenard, Zeljko Zilic Assertion Checkers in Verification, Silicon Debug and In-Field Diagnosis. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
42Mario García-Valderas, Eduardo de la Torre, F. Ariza, Teresa Riesgo Hardware and Software Debugging of FPGA Based Microprocessor Systems Through Debug Logic Insertion. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
42Bart Vermeulen, Tom Waayers, Sandeep Kumar Goel Core-Based Scan Architecture for Silicon Debug. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
42Don Douglas Josephson The Manic Depression of Microprocessor Debug. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
42Sandeep Kumar Goel, Bart Vermeulen Hierarchical Data Invalidation Analysis for Scan-Based Debug on Multiple-Clock System Chips. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
42Bulent I. Dervisoglu Application of scan hardware and software for debug and diagnostics in a workstation environment. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
42Narasimhaiah Gorla, Alan C. Benander, Barbara A. Benander Debugging Effort Estimation Using Software Metrics. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF debugging effort estimation, quadratic regressions, style characteristics, V(g), VARS, PARS, Cobol programs, program analyzer, statistical procedures, SAS, statistical analysis system, GOTO usage, IF-ELSE construct, level 88 item usage, paragraph invocation pattern, data name length, debug times, DEST, software metrics, statistical analysis, program testing, program debugging, linear regressions, multiple regressions, LOC
41Chia-Chih Yen, Ten Lin, Hermes Lin, Kai Yang, Ta-Yung Liu, Yu-Chin Hsu A General Failure Candidate Ranking Framework for Silicon Debug. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Silicon Debug
41Matthew W. Heath, Wayne P. Burleson, Ian G. Harris Synchro-Tokens: A Deterministic GALS Methodology for Chip-Level Debug and Test. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF test, debug, SoC, nondeterminism, GALS, globally asynchronous locally synchronous
41Sung-Boem Park, Subhasish Mitra IFRA: instruction footprint recording and analysis for post-silicon bug localization in processors. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF verification, debug, validation, design for debug
41Chia-Chih Yen, Ten Lin, Hermes Lin, Kai Yang, Ta-Yung Liu, Yu-Chin Hsu Diagnosing Silicon Failures Based on Functional Test Patterns. Search on Bibsonomy MTV The full citation details ... 2006 DBLP  DOI  BibTeX  RDF fault diagnosis, Silicon debug, design for debug
35Yoshiyuki Nakamura, Thomas Clouqueur, Kewal K. Saluja, Hideo Fujiwara Diagnosing At-Speed Scan BIST Circuits Using a Low Speed and Low Memory Tester. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Borislav Nikolik Convergence debugging. Search on Bibsonomy AADEBUG The full citation details ... 2005 DBLP  DOI  BibTeX  RDF convergence hypothesis, test dispersion, test diversity, testing, debugging, convergence
35Yukio Okuda Panel Synopsis - Diagnosis Meets Physical Failure Analysis: How Long Can We Succeed? Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Glenn Ammons, David Mandelin, Rastislav Bodík, James R. Larus Debugging temporal specifications with concept analysis. Search on Bibsonomy PLDI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF specification debuggers, hierarchical clustering, concept analysis, temporal specifications
35Phyllis G. Frankl, Richard G. Hamlet, Bev Littlewood, Lorenzo Strigini Evaluating Testing Methods by Delivered Reliability. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF statistical testing theory, Reliability, software testing, debugging
35Mansur H. Samadzadeh, Winai Wichaipanitch An Interactive Debugging Tool for C Based on Dynamic Slicing and Dicing. Search on Bibsonomy ACM Conference on Computer Science The full citation details ... 1993 DBLP  DOI  BibTeX  RDF C
34Miron Abramovici In-System Silicon Validation and Debug. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Daniel Stein, Marcus Vetter, Ivo Wolf, Hans-Peter Meinzer Konzept und Realisierung eines Zustandsmaschinen-Editors für Interaktionen medizinischer Bildverarbeitung mit Debug-Funktionalität. Search on Bibsonomy Bildverarbeitung für die Medizin The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Atanu Chattopadhyay, Zeljko Zilic Built-in Clock Skew System for On-line Debug and Repair. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Flavio M. de Paula, Marcel Gort, Alan J. Hu, Steven J. E. Wilton, Jin Yang 0006 BackSpace: Formal Analysis for Post-Silicon Debug. Search on Bibsonomy FMCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34K. Schultz, Ketan Paranjape SOC Debug Challenges and Tools. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Dmitry Akselrod, Asaf Ashkenazi, Yossi Amon Platform independent debug port controller architecture with security protection for multi-processor system-on-chip ICs. Search on Bibsonomy DATE Designers' Forum The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Paolo Bernardi, Michelangelo Grosso, Maurizio Rebaudengo, Matteo Sonza Reorda Exploiting an I-IP for both Test and Silicon Debug of Microprocessor Cores. Search on Bibsonomy MTV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Albrecht Mayer, Harry Siebert, Klaus D. McDonald-Maier Debug Support, Calibration and Emulation for Multiple Processor and Powertrain Control SoCs. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Baodong Yu, Xuecheng Zou The Software/Hardware Co-Debug Environment with Emulator. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Charles Njinda A Hierarchical DFT Architecture for Chip, Board and System Test/Debug. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
34Tim Price, Cameron Patterson Reconfigurable Breakpoints for Co-debug. Search on Bibsonomy FPL The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
34Yiorgos Makris, Alex Orailoglu A Module Diagnosis and Design-for-Debug Methodology Based on Hierarchical Test Paths. Search on Bibsonomy DFT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
34Young-Jun Kwon, Ben Mathew, Hong Hao FakeFault: a silicon debug software tool for microprocessor embedded memory arrays. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
34Xiao Liu 0011, Qiang Xu 0001 Interconnection fabric design for tracing signals in post-silicon validation. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF trace-based debug, post-silicon validation
34Guillermo Vigueras, Jorge J. Gómez-Sanz, Juan A. Botía Blaya, Juan Pavón Using Semantic Causality Graphs to Validate MAS Models. Search on Bibsonomy Innovations in Hybrid Intelligent Systems The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Intelligent agent-based systems, MAS debug, MAS validation
34Yu-Chin Hsu, Bassam Tabbara, Yirng-An Chen, Fur-Shing Tsai Advanced techniques for RTL debugging. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF simulation, visualization, verification, debug, reasoning
32Jimin Lee, Jae Min Kim, Junho Huh, Jungwoo Kim 0001 Software-driven Debug Framework for Embedded RISC-V, that Transparently Emulates the Industry Standard Debug Framework. Search on Bibsonomy ICCE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
32Inhyuk Choi, Hyunggoy Oh, Young-Woo Lee, Sungho Kang 0001 Test Resource Reused Debug Scheme to Reduce the Post-Silicon Debug Cost. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Pankaj Shanker Spatial Debug & Debug Without Re-programming in FPGAs: On-Chip debugging in FPGAs. Search on Bibsonomy FPGA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
32Kees Goossens, Bart Vermeulen, Ashkan Beyranvand Nejad A high-level debug environment for communication-centric debug. Search on Bibsonomy DATE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
32Marc Boule, Jean-Samuel Chenard, Zeljko Zilic Adding Debug Enhancements to Assertion Checkers for Hardware Emulation and Silicon Debug. Search on Bibsonomy ICCD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Jorge Luis Lagos-Benites, Michelangelo Grosso, Luca Sterpone, Matteo Sonza Reorda, G. Audisio, M. Pipponzi, Marco Sabatini A Low-Cost Emulation System for Fast Co-verification and Debug. Search on Bibsonomy ETS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF FPGA, debug, System-on-Chip, co-verification
32Eddie Hung, Steven J. E. Wilton Speculative Debug Insertion for FPGAs. Search on Bibsonomy FPL The full citation details ... 2011 DBLP  DOI  BibTeX  RDF fpga debug, trace buffer
32Yousef Iskander, Cameron D. Patterson, Stephen D. Craven Improved Abstractions and Turnaround Time for FPGA Design Validation and Debug. Search on Bibsonomy FPL The full citation details ... 2011 DBLP  DOI  BibTeX  RDF FPGA, debug, validation
32Steven J. E. Wilton, Chun Hok Ho, Bradley R. Quinton, Philip Heng Wai Leong, Wayne Luk A Synthesizable Datapath-Oriented Embedded FPGA Fabric for Silicon Debug Applications. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Field programmable gate array, system-on-chip, integrated circuit, silicon debug
32Stefan Holst, Hans-Joachim Wunderlich Adaptive Debug and Diagnosis without Fault Dictionaries. Search on Bibsonomy ETS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF VLSI, Test, Debug, Diagnosis
32Bart Vermeulen, Tom Waayers, Sjaak Bakker Multi-TAP Controller Architecture for Digital System Chips. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF system-chips, IEEE-1149.1, software-debug, design-for-debug, multi-TAP
26Erik Jan Marinissen Bugs, moths, grasshoppers, and whales. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Hugo Venturini, Frédéric Riss, Jean-Claude Fernandez, Miguel Santana A fully-non-transparent approach to the code location problem. Search on Bibsonomy SCOPES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Shantanu Gupta, Florin Sultan, Srihari Cadambi, Franjo Ivancic, Martin Rötteler RaceTM: detecting data races using transactional memory. Search on Bibsonomy SPAA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF transactional memory, data race detection
26Mi-Young Park, Nguyen Cao Truong Hai, Yong-Kee Jun, Hyuk-Ro Park Visualization of Message Races in MPI Parallel Programs. Search on Bibsonomy CIT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Katalin Popovici, Xavier Guerin, Frédéric Rousseau 0001, Pier Stanislao Paolucci, Ahmed Amine Jerraya Efficient Software Development Platforms for Multimedia Applications at Different Abstraction Levels. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Brent E. Nelson The Mythical CCM: In Search of Usable (and Resuable) FPGA-Based General Computing Machines. Search on Bibsonomy ASAP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Winai Wichaipanitch, Mansur H. Samadzadeh, Songsri Tangsripairoj Development and Evaluation of a Slicing-Based C++ Debugger. Search on Bibsonomy ITCC (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Slicing and Dicing, Evaluation, Algorithms, Debugging, Dynamic Slicing
26Jonathan Noel Tombs, Miguel Angel Aguirre Echánove, Fernando Muñoz 0001, Vicente Baena Lecuyer, Antonio Jesús Torralba Silgado, A. Fernandez-León, Francisco Tortosa The Implementation of a FPGA Hardware Debugger System with Minimal System Overhead. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Kwangyong Lee, Chaedeok Lim, Kisok Kong, Heung-Nam Kim A Design and Implementation of a Remote Debugging Environment for Embedded Internet Software. Search on Bibsonomy LCTES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
26Le-Chun Wu, Rajiv Mirani, Harish Patil, Bruce Olsen, Wen-mei W. Hwu A New Framework for Debugging Globally Optimized Code. Search on Bibsonomy PLDI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Ronald Stence A New Development Tool with the IEEE-ISTO. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
25Myoungkyu Song, Eli Tilevich The anti-goldilocks debugger: helping the average bear debug transparently transformed programs. Search on Bibsonomy OOPSLA Companion The full citation details ... 2009 DBLP  DOI  BibTeX  RDF bytecode enhancement, debugging, program transformation
Displaying result #1 - #100 of 1206 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license