The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for DFY with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1999-2007 (21) 2009 (1)
Publication types (Num. hits)
article(2) inproceedings(20)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 15 occurrences of 12 keywords

Results
Found 22 publication records. Showing 22 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
99Markus Bühler, Jürgen Koehl, Jeanne Bickford, Jason Hibbeler, Ulf Schlichtmann, Ralf Sommer, Michael Pronath, Andreas Ripp DFM/DFY design for manufacturability and yield - influence of process variations in digital, analog and mixed-signal circuit design. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
85Shi-Hao Chen, Ke-Cheng Chu, Jiing-Yuan Lin, Cheng-Hong Tsai DFM/DFY practices during physical designs for timing, signal integrity, and power. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 0.13 micron, DFY, dynamic IR drop, process variation, physical designs, DFM, design for manufacturability, signal integrity, timing integrity, yield analysis, design for yield
77Marco Casale-Rossi, Andrzej J. Strojwas, Robert C. Aitken, Antun Domic, Carlo Guardiani, Philippe Magarshack, Douglas Pattullo, Joseph Sawicki DFM/DFY: should you trust the surgeon or the family doctor? Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
52Jamil Kawa, Charles C. Chiang DFM issues for 65nm and beyond. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF DFY, DFM
33Philipp V. Panitz, Markus Olbrich, Erich Barke, Jürgen Koehl Robust wiring networks for DfY considering timing constraints. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF redundant wiring, timing constraint aware, open defects, design for yield
33Srikanth Venkataraman DFM, DFY, Debug and Diagnosis: The Loop to Ensure Yield. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
33Rajesh Raina What is DFM & DFY and Why Should I Care ? Search on Bibsonomy ITC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
33Robert C. Aitken The Design and Validation of IP for DFM/DFY Assurance. Search on Bibsonomy ITC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
33R. Scott Fetherston DFT, DFY, DFR: Who Cares? Search on Bibsonomy ITC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
33James A. Monzel DFT, DFY, and DFR; Which One(s) Do You Worry About? Search on Bibsonomy ITC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
33David M. Wu "DFY and DFR are more important than DFT". Search on Bibsonomy ITC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
33Robert C. Aitken It Makes Sense to Combine DFT and DFR/DFY. Search on Bibsonomy ITC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
30Sylvain Guilley, Florent Flament, Philippe Hoogvorst, Renaud Pacalet, Yves Mathieu Secured CAD Back-End Flow for Power-Analysis-Resistant Cryptoprocessors. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF robust hardware, back-end design automation, power-constant architectures, DFY, side-channel attacks, DFM, mitigation
30Sani R. Nassif, Vijay Pitchumani, Norma Rodriguez, Dennis Sylvester, Clive Bittlestone, Riko Radojcic Variation-aware analysis: savior of the nanometer era? Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF DFY, variability, yield
22Giuseppe Nicosia, Giovanni Stracquadanio A Design-for-Yield Algorithm to Assess and Improve the Structural and Energetic Robustness of Proteins and Drugs. Search on Bibsonomy SEA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22David Cross, Eric Nequist, Louis Scheffer A DFM aware, space based router. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Nagesh Nagapalli DFT and Test: Ensuring Product Quality. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Resve A. Saleh, Pallab K. Chatterjee, Ivan Pesic, Robbert Dobkins, Mike Smayling, Joseph Sawicki DFM-EDA's Salvation or its Excuse for Being out of Touch with Engineering? Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Shyue-Kung Lu, Jen-Sheng Shih, Shih-Chang Huang Design-for-testability and fault-tolerant techniques for FFT processors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Thomas W. Williams Design for Testability: The Path to Deep Submicron. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Sanjiv Taneja DFT Aware Layout - Layout Aware DFT. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Yu-Tsao Hsing, Chih-Wea Wang, Ching-Wei Wu, Chih-Tsun Huang, Cheng-Wen Wu Failure Factor Based Yield Enhancement for SRAM Designs. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #22 of 22 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license