The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for EDAC with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1986-1994 (128) 1997-2007 (16) 2008-2023 (13)
Publication types (Num. hits)
article(9) inproceedings(147) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 12 occurrences of 11 keywords

Results
Found 157 publication records. Showing 157 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
103Dariusz Badura, Andrzej Hlawiczka Low Cost Bist for Edac Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF CBIST, EDAC, error aliasing, fault coverage, self-test
72Avijit Dutta, Abhijit Jas Combinational Logic Circuit Protection Using Customized Error Detecting and Correcting Codes. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF EDAC, adhoc code, customizable codes, ECC
54Robert Werner (eds.) EDAC - The European Conference on Design Automation, ETC - European Test Conference, EUROASIC - The European Event in ASIC Design, Proceedings, February 28 - March 3, 1994, Paris, France Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  BibTeX  RDF
54Alessandro Balboni, Claudio Costi, Franco Fummi, Donatella Sciuto From Behavioral Description to Systolic Array Based Architectures. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Sybille Hellebrand, Hans-Joachim Wunderlich Synthesis of Self-Testable Controllers. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Rob van Dongen, Vincent Rikkink Advanced Analog Circuit Design on a Digital Sea-of-Gates Array. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Yosinori Watanabe, Robert K. Brayton State Minimization of Pseudo Non-Deterministic FSM's. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Ed P. Huijbregts, Jos T. J. van Eijndhoven, Jochen A. G. Jess On Design Rule Correct Maze Routing. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Vincent Moser, Pascal Nussbaum, Hans Peter Amann, Luc Astier, Fausto Pellandini A Graphical Approach to Analogue Behavioural Modelling. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Michael Nicolaidis, Hakim Bederr Efficient Implementations of Self-Checking Multiply and Divide Arrays. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Elizabeth M. Rudnick, John G. Holm, Daniel G. Saab, Janak H. Patel Application of Simple Genetic Algorithms to Sequential Circuit Test Generation. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Hannes C. Wittmann, Manfred Henftling Efficient Path Identification for Delay Testing - Time and Space Optimization. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Kimon W. Michaels, Andrzej J. Strojwas Variable Accuracy Device Modeling for Event-Driven Circuit Simulation. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Sandip Parikh, Michael L. Bushnell, James Sienicki, Ganesh Ramakrishnan Distributed Computing, Automatic Design, and Error Recovery in the ULYSSES II Framework. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Sandeep Bhatia, Niraj K. Jha Genesis: A Behavioral Synthesis System for Hierarchical Testability. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Peter T. Breuer, Luis Sánchez Fernández 0001, Carlos Delgado Kloos Clean formal semantics for VHDL. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Ben Chen, Michihiro Yamazaki, Masahiro Fujita Bug Identification of a Real Chip Design by Symbolic Model Checking. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Silvano Gai, Pier Luca Montessoro, Matteo Sonza Reorda TORSIM: An Efficient Fault Simulator for Synchronous Sequential Circuits. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Jürgen Frößl, Thomas Kropf A New Model to Uniformly Represent the Function and Timing of MOS Circuits and its Application to VHDL Simulation. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54C. Safinia, Régis Leveugle, Gabriele Saucier Taking Advantage of High Level Functional Information to Refine Timing Analysis and Timing Modeling. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54T. Michel, Régis Leveugle, Gabriele Saucier, R. Doucet, P. Chapier Taking Advantage of ASICs to Improve Dependability with Very Low Overheads. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Daniel Gajski, Frank Vahid, Sanjiv Narayan A System-Design Methodology: Executable-Specification Refinement. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Abdessatar Abderrahman, Bozena Kaminska, Yvon Savaria Estimation of Simultaneous Switching Power and Ground Noise of Static CMOS Combinational Circuits. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Manoj Sachdev Transforming Sequential Logic in Digital CMOS ICs for Voltage and IDDQ Testing. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Sen-Pin Lin, Sandeep K. Gupta 0001, Melvin A. Breuer A Low Cost BIST Methodology and Associated Novel Test Pattern Generator. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54M. Straube, Wolfgang Wilkes, Gunter Schlageter HANDICAP - A System for Design Consulting. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Alain Greiner, Luis Lucas, Franck Wajsbürt, Laurent Winckel Design of a High Complexity Superscalar Microprocessor with the Portable IDPS ASIC Library. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Pierre Coulomb, François Pogodalla PLFP256 A Pipelined Fourier Processor. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54D. Dumas, Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch Effectiveness of a Variable Sampling Time Strategy for Delay Fault Diagnosis. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Shan-Hsi Huang, Jan M. Rabaey Maximizing the Throughput of High Performance DSP Applications Using Behavioral Transformations. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Peter Vanbekbergen, Chantal Ykman-Couvreur, Bill Lin 0001, Hugo De Man A Generalized Signal Transition Graph Model for Specification of Complex Interfaces. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Martyn Edwards, John Forrest A Development Environment for the Cosynthesis of Embedded Software/Hardware Systems. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54K. C. Koudakou Software Implementation and Statistical Optimization of Some Electronic Component's Lifetime. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Michele Favalli, Marcello Dalpasso, Piero Olivo, Bruno Riccò Modeling of Broken Connections Faults in CMOS ICs. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Bernd Wurth, Norbert Wehn Efficient Calculation of Boolean Relations for Multi-Level Logic Optimization. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Koen Schoofs, Gert Goossens, Hugo De Man Signal Type Optimisation in the Design of Time-Multiplexed DSP Architectures. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Franco Fummi, Donatella Sciuto, Micaela Serra A Functional Approach to Delay Faults Test Generation for Sequential Circuits. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Francis Depuydt, Werner Geurts, Gert Goossens, Hugo De Man Optimal Scheduling and Software Pipelining of Repetitive Signal Flow Graphs with Delay Line Optimization. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Oliver F. Haberl, Thomas Kropf Self Testable Boards with Standard IEEE 1149.5 Module Test and Maintenance (MTM) Bus Interface. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54How-Rern Lin, Ching-Lung Chou, Yu-Chin Hsu, TingTing Hwang Cell Height Driven Transistor Sizing in a Cell Based Module Design. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Chauchin Su Random Testing of Interconnects in A Boundary Scan Environment. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Yih-Lang Li, Cheng-Wen Wu Logic and Fault Simulation by Cellular Automata. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Thomas Johansson 0003, L. R. Virtanen, J. M. Gobbi "Underground Capacitors" Very Efficient Decoupling for High Performance UHF Signal Processing ICs. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Huy Nam Nguyen, J. P. Tual, L. Ducousso, Michel Thill, P. Vallet Logic Synthesis and Verification of the CPU and Caches of a Mainframe System. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Shih-Chieh Chang, David Ihsin Cheng, Malgorzata Marek-Sadowska Minimizing ROBDD Size of Incompletely Specified Multiple Output Functions. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Peter Zepter, Thorsten Grötker Generating Synchronous Timed Descriptions of Digital Receivers from Dynamic Data Flow System Level Configurations. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Paolo Camurati, Fulvio Corno, Paolo Prinetto, Catherine Bayol, Bernard Soulas System-Level Modeling and Verification: a Comprehensive Design Methodology. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Mani B. Srivastava, Miodrag Potkonjak Transforming Linear Systems for Joint Latency and Throughout Optimization. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Mokhtar Hirech, Olivier Florent, Alain Greiner, El Housseine Rejouan A Redefinable Symbolic Simulation Technique to Testability Design Rules Checking. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Ronn B. Brashear, Noel Menezes, Chanhee Oh, Lawrence T. Pillage, M. Ray Mercer Predicting Circuit Performance Using Circuit-level Statistical Timing Analysis. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Tarek Ben Ismail, Kevin O'Brien, Ahmed Amine Jerraya Interactive System-level Partitioning with PARTIF. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Luc Burgun, N. Dictus, Alain Greiner, E. Pradho, C. Sarwary Multilevel Logic Synthesis of Very High Complexity Circuits. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Matti Kärkkäinen, Kari Tiensyrjä, Matti Weissenfelt Boundary Scan Testing Combined with Power Supply Current Monitoring. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Loganath Ramachandran, Daniel Gajski, Viraphol Chaiyakul An Algorithm for Array Variable Clustering. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54José T. de Sousa, Fernando M. Gonçalves, João Paulo Teixeira 0001, Thomas W. Williams Fault Modeling and Defect Level Projections in Digital ICs. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Marie-Lise Flottes, D. Hammad, Bruno Rouzeyre Automatic Synthesis of BISTed Data Paths From High Level Specification. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Michel Robert, Patrick Gorria, Johel Mitéran, S. Turgis Design of a Real Time Geometric Classifier. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Hua Xue, Chennian Di, Jochen A. G. Jess Probability Analysis for CMOS Floating Gate Faults. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Bernard A. McCoy, Gabriel Robins Non-Tree Routing. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Ad J. van de Goor, Yervant Zorian, Ivo Schanstra Functional Tests for Ring-Address SRAM-type FIFOs. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Taewhan Kim, Ki-Seok Chung, Chien-Liang Liu A Stepwise Refinement Data Path Synthesis Procedure for Easy Testability. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Salman Ahmed, Peter Y. K. Cheung, Phil Collins A Model-based Approach to Analog Fault Diagnosis using Techniques from Optimisation. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Eamonn Byrne, Oliver McCarthy, David Lucas, Brian Donnellan An Overview of Analogue Optimisation Using "AD-OPT". Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Johannes Helbig, Peter Kelb An OBDD-Representation of Statecharts. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Henrik Esbensen, Pinaki Mazumder A Genetic Algorithm for the Steiner Problem in a Graph. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Sying-Jyan Wang Synthesis of Sequential Machines with Reduced Testing Cost. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Andrej Zemva, Franc Brglez, Krzysztof Kozminski, Baldomir Zajc A Functionality Fault Model: Feasibility and Applications. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Roberto Sarmiento, Kamran Eshraghian Implementation of a CORDIC Processor for CFFT Computation in Gallium Arsenide Technology. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Muhammad K. Dhodhi, Imtiaz Ahmad, C. Y. Roger Chen Synthesis of Application-Specific Multiprocessor Systems. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Eugeni Isern 0001, Joan Figueras Test of Bridging Faults in Scan-based Sequential Circuits. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54A. Vacher, M. Benkhebbab, Alain Guyot, T. Rousseau, Ali Skaf A VLSI Implementation of Parallel Fast Fourier Transform. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Richard McGowen, F. Joel Ferguson A Study of Undetectable Non-Feedback Shorts for the Purpose of Physical-DFT. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Arno Kunzmann, Frank Böhland Gate-Delay Fault Test with Conventional Scan-Design. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Richard Illman, D. J. Traynor A Fragmented Register Architecture and Test Advisor for BIST. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Klaus Schneider 0001, Thomas Kropf, Ramayya Kumar Control Path Oriented Verification of Sequential Generic Circuits with Control and Data Path. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Mehmet Emin Dalkiliç, Vijay Pitchumani Optimal Operation Scheduling Using Resource Lower Bound Estimations. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Lakshmikanth Ghatraju, Mostafa I. H. Abd-El-Barr, Carl McCrosky High-Level Synthesis of Digital Circuits by Finding Fixpoints. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Mohamed Jamoussi, Bozena Kaminska M-Testability: An Approach for Data-Path Testability Evaluation. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Rosa Rodríguez-Montañés, Joan Figueras Analysis of Bridging Defects in Sequential CMOS Circuits and their Current Testability. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Tsung-Yi Wu, Tzu-Chieh Tien, Allen C.-H. Wu, Youn-Long Lin A Synthesis Method for Mixed Synchronous / Asynchronous Behavior. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Anton Vuksic, Karl Fuchs A New BIST Approach for Delay Fault Testing. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Andrea Boni, Giovanni Chiorboli, G. Franco, S. Mazzoleni, M. Ostacoli Physical Modeling of Linearity Errors for the Diagnosis of High Resolution R-2R D/A Converters. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Zhihua Wang, Stephen W. Director An Efficient Yield Optimization Method Using A Two Step Linear Approximation of Circuit Performance. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Jerry Chih-Yuan Yang, Giovanni De Micheli, Maurizio Damiani Scheduling with Environmental Constraints based on Automata Representations. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Wuudiann Ke, Premachandran R. Menon Synthesis of Delay-Verifiable Two-Level Circuits. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54George Alexiou, Dimitrios Stiliadis, Nick Kanopoulos Design and Implementation of a High-Performance, Modular, Sorting Engine. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Bernhard Rohfleisch, Franc Brglez Introduction of Permissible Bridges with Application to Logic Optimization after Technology Mapping. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Savita Banerjee, Rabindra K. Roy, Srimat T. Chakradhar, Dhiraj K. Pradhan Signal Transition Graph Transformations for Initializability. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Jiro Naganuma, Takeshi Ogura, Tamio Hoshino High-Level Design Validation Using Algorithmic Debugging. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Kuan-Jen Lin, Jih-Wen Kuo, Chen-Shang Lin Direct Synthesis of Hazard-Free Asynchronous Circuits from STGs Based on Lock Relation and BG-Decomposition Approach. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Bruno Rouzeyre, D. Dupont, Georges Sagnes Component Selection, Scheduling and Control Schemes for High Level Synthesis. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Ralf Hahn, Rolf Krieger, Bernd Becker 0001 A Hierarchical Approach to Fault Collapsing. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Maurizio Damiani Nondeterministic finite-state machines and sequential don't cares. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Champaka Ramachandran, Fadi J. Kurdahi Incorporating the Controller Effects During Register Transfer Level Synthesis. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Wen Ching Wu, Chung-Len Lee 0001, Jwu E. Chen, Won Yih Lin Distributed Fault Simulation for Sequential Circuits by Pattern Partitioning. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Albrecht P. Stroele Signature Analysis for Sequential Circuits with Reset. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Douglas M. Grant, Jef L. van Meerbergen, Paul E. R. Lippens Optimization of Address Generator Hardware. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Wolfgang Vermeiren, Bernd Straube, Günter Elst A Suggestion for Accelerating the Analog Fault Simulation. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Jyh-Herng Wang, Jen-Teng Fan, Wu-Shiung Feng An Accurate Time-Domain Current Waveform Simulator for VLSI Circuits. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
54Chih-Ang Chen, Sandeep K. Gupta 0001 BIST Test Pattern Generators for Stuck-Open and Delay Testing. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 157 (100 per page; Change: )
Pages: [1][2][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license