Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
188 | Massimo Maresca |
Polymorphic Processor Arrays. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 4(5), pp. 490-506, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
polymorphic processor arrays, mesh-connected arrays, PPA, low complexity algorithms, PPA programming model, computational complexity, parallel processing, parallel computers, parallel architectures, multiprocessor interconnection networks |
92 | Byung-Do Yang, Lee-Sup Kim, Hyun-Kyu Yu |
A high speed direct digital frequency synthesizer using a low power pipelined parallel accumulator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (5) ![In: Proceedings of the 2002 International Symposium on Circuits and Systems, ISCAS 2002, Scottsdale, Arizona, USA, May 26-29, 2002, pp. 373-376, 2002, IEEE, 0-7803-7448-7. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
85 | Thanh-Ha Le, Jessy Clédière, Cécile Canovas, Bruno Robisson, Christine Servière, Jean-Louis Lacoume |
A Proposition for Correlation Power Analysis Enhancement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHES ![In: Cryptographic Hardware and Embedded Systems - CHES 2006, 8th International Workshop, Yokohama, Japan, October 10-13, 2006, Proceedings, pp. 174-186, 2006, Springer, 3-540-46559-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
multi-bit DPA, PPA, AES, correlation, DPA, power analysis, DES, side channel, CPA |
73 | Danny Kuminov, Moshe Tennenholtz |
User modeling in position auctions: re-considering the GSP and VCG mechanisms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AAMAS (1) ![In: 8th International Joint Conference on Autonomous Agents and Multiagent Systems (AAMAS 2009), Budapest, Hungary, May 10-15, 2009, Volume 1, pp. 273-280, 2009, IFAAMAS, 978-0-9817381-6-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP BibTeX RDF |
|
73 | Marek Petrik, Shlomo Zilberstein |
Learning parallel portfolios of algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Ann. Math. Artif. Intell. ![In: Ann. Math. Artif. Intell. 48(1-2), pp. 85-106, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Mathematics Subject Classifications (2000) 68T05, 68T20 |
73 | Chin-Chih Chang, Jason Cong |
Pseudopin assignment with crosstalk noise control. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 20(5), pp. 598-611, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
66 | Handong Wang, Haixiang Zou, Yang Yue 0001, Qingquan Li |
Visualizing hot spot analysis result based on mashup. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GIS-LBSN ![In: Proceedings of the 2009 International Workshop on Location Based Social Networks, LBSN 2009, November 3, 2009, Seattle, Washington, USA, Proceedings, pp. 45-48, 2009, ACM, 978-1-60558-860-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
LBSN (location-based social network), Microsoft Virtual Earth, geo-visualization, point pattern analysis (PPA), taxi trajectory data, location-based service (LBS) |
61 | Fangxiong Xiao, Zhiqiu Huang, Jun Hu, Min Yuan, Junhua Zhang |
Support Web Services Composition with Optimal Cost Using PPA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICWS ![In: 2008 IEEE International Conference on Web Services (ICWS 2008), September 23-26, 2008, Beijing, China, pp. 760-761, 2008, IEEE Computer Society, 978-0-7695-3310-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
55 | Hyunchul Park 0001, Yongjun Park 0001, Scott A. Mahlke |
Polymorphic pipeline array: a flexible multicore accelerator with virtualized execution for mobile multimedia applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 42st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-42 2009), December 12-16, 2009, New York, New York, USA, pp. 370-380, 2009, ACM, 978-1-60558-798-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
programmable accelerator, virtualization, software pipelining |
55 | Lei Guo 0005, Hongfang Yu, Lemin Li |
A New Path Protection Algorithm for Meshed Survivable Wavelength-Division-Multiplexing Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICN (1) ![In: Networking - ICN 2005, 4th International Conference on Networking, ReunionIsland, France, April 17-21, 2005, Proceedings, Part I, pp. 68-75, 2005, Springer, 3-540-25339-4. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
55 | Vesa Kainulainen, Jarkko Suhonen, Erkki Sutinen, Tiong Goh, Kinshuk |
Mobile Digital Portfolio Extension. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WMTE ![In: Proceedings 2nd IEEE International Workshop on Wireless and Mobile Technologies in Education, WMTE 2004, Mobile Support for Learning Communities, Taoyuan, Taiwan, March 23-25, 2004, pp. 98-102, 2004, IEEE Computer Society, 0-7695-1989-X. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
37 | Shaun Bangay, David de Bruyn, Kevin R. Glass |
Minimum spanning trees for valley and ridge characterization in digital elevation maps. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Afrigraph ![In: Proceedings of the 7th International Conference on Computer Graphics, Virtual Reality, Visualisation and Interaction in Africa, Afrigraph 2010, Franschhoek, South Africa, June 21-23, 2010, pp. 73-82, 2010, ACM, 978-1-4503-0118-3. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
graph, minimum spanning tree, line, DEM, ridge |
37 | François Malgouyres, Tieyong Zeng |
A Predual Proximal Point Algorithm Solving a Non Negative Basis Pursuit Denoising Model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Comput. Vis. ![In: Int. J. Comput. Vis. 83(3), pp. 294-311, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Proximal point algorithm, ell1 minimization, Algorithm, Sparse representation, Basis Pursuit |
37 | Dimitris Syrivelis, Spyros Lalis |
Extracting Coarse-Grained Pipelined Parallelism Out of Sequential Applications for Parallel Processor Arrays. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ARCS ![In: Architecture of Computing Systems - ARCS 2009, 22nd International Conference, Delft, The Netherlands, March 10-13, 2009. Proceedings, pp. 4-15, 2009, Springer, 978-3-642-00453-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
37 | Salem Fawaz Adra, Ian Griffin, Peter J. Fleming |
A Comparative Study of Progressive Preference Articulation Techniques for Multiobjective Optimisation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EMO ![In: Evolutionary Multi-Criterion Optimization, 4th International Conference, EMO 2007, Matsushima, Japan, March 5-8, 2007, Proceedings, pp. 908-921, 2007, Springer, 3-540-70927-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Progressive preference articulation, Multiobjective optimisation |
37 | Anirban Chakrabarti, G. Manimaran |
Reliability constrained routing in QoS networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE/ACM Trans. Netw. ![In: IEEE/ACM Trans. Netw. 13(3), pp. 662-675, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
constrained routing, multicasting, resource reservation, fault-tolerant routing |
37 | Thinh P. Q. Nguyen, Avideh Zakhor |
Multiple sender distributed video streaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Multim. ![In: IEEE Trans. Multim. 6(2), pp. 315-326, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
37 | Nobuo Yamashita, Hiroshige Dan, Masao Fukushima |
On the identification of degenerate indices in the nonlinear complementarity problem with the proximal point algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Math. Program. ![In: Math. Program. 99(2), pp. 377-397, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
proximal point algorithm, degeneracy, Nonlinear complementarity problem |
37 | Benedikt Bollig, Martin Leucker |
Model Checking Probabilistic Distributed Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASIAN ![In: Advances in Computing Science - ASIAN 2003 Programming Languages and Distributed Computation, 8th Asian Computing Science Conference, Mumbai, India, December 10-14, 2003, Proceedings, pp. 291-304, 2003, Springer, 3-540-20632-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
25 | Jinwoo Kim, Lingjun Zhu, Hakki Mert Torun, Madhavan Swaminathan, Sung Kyu Lim |
A PPA Study for Heterogeneous 3-D IC Options: Monolithic, Hybrid Bonding, and Microbumping. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 32(3), pp. 401-412, March 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
25 | Renzhe Xu, Haotian Wang 0001, Xingxuan Zhang, Bo Li, Peng Cui 0001 |
PPA-Game: Characterizing and Learning Competitive Dynamics Among Online Content Creators. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2403.15524, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
25 | Markus Hilbert, Andreas Dellnitz, Andreas Kleine |
Production planning under RTP, TOU and PPA considering a redox flow battery storage system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Ann. Oper. Res. ![In: Ann. Oper. Res. 328(2), pp. 1409-1436, September 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
25 | Gang Tang, Hongren Zhao, Christophe Claramunt, Weidong Zhu, Shiming Wang, Yide Wang, Yuehua Ding |
PPA-Net: Pyramid Pooling Attention Network for Multi-Scale Ship Detection in SAR Images. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Remote. Sens. ![In: Remote. Sens. 15(11), pp. 2855, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
25 | Subhanil Maity, Sanjay Kumar Jana |
Design of a Power-Performance-Area (PPA) Optimized MOS Current Mode Logic Pre-scaler. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Circuits Syst. Signal Process. ![In: Circuits Syst. Signal Process. 42(10), pp. 5783-5798, October 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
25 | Rongjie Liu, Meng Li 0002, David B. Dunson |
PPA: Principal parcellation analysis for brain connectomes and multiple traits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NeuroImage ![In: NeuroImage 276, pp. 120214, August 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
25 | Felix Last, Ulf Schlichtmann |
Training PPA Models for Embedded Memories on a Low-data Diet. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 28(2), pp. 26:1-26:24, March 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
25 | Gauthaman Murali, Anthony Agnesina, Sung Kyu Lim |
A PPA Study of Reinforced Placement Parameter Autotuning: Pseudo-3D vs. True-3D Placers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 28(5), pp. 75:1-75:22, September 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
25 | Wenji Fang, Yao Lu, Shang Liu, Qijun Zhang, Ceyu Xu, Lisa Wu Wills, Hongce Zhang, Zhiyao Xie |
MasterRTL: A Pre-Synthesis PPA Estimation Framework for Any RTL Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2311.08441, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
25 | Guangxin Guo, Hailong You, Zhengguang Tang, Benzheng Li, Cong Li, Xiaojue Zhang |
ASSURER: A PPA-friendly Security Closure Framework for Physical Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 28th Asia and South Pacific Design Automation Conference, ASPDAC 2023, Tokyo, Japan, January 16-19, 2023, pp. 504-509, 2023, ACM, 978-1-4503-9783-4. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
25 | Chunyi Zhou, Yansong Gao, Anmin Fu, Kai Chen, Zhiyang Dai, Zhi Zhang 0001, Minhui Xue, Yuqing Zhang 0001 |
PPA: Preference Profiling Attack Against Federated Learning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NDSS ![In: 30th Annual Network and Distributed System Security Symposium, NDSS 2023, San Diego, California, USA, February 27 - March 3, 2023, 2023, The Internet Society. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP BibTeX RDF |
|
25 | S. Yang, Pieter Schuddinck, Marie Garcia Bardon, Yang Xiang, Anabela Veloso, B. T. Chan, Gioele Mirabelli, Gaspard Hiblot, Geert Hellings, Julien Ryckaert |
PPA and Scaling Potential of Backside Power Options in N2 and A14 Nanosheet Technology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Technology and Circuits ![In: 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), Kyoto, Japan, June 11-16, 2023, pp. 1-2, 2023, IEEE, 978-4-86348-806-9. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
25 | Seungyoung Lee, Sungyup Jung, Yunkyeong Jang, Jungho Do, Jisu Yu, Hyeoungyu You, Minjae Jeong, Jinyoung Lim, Jiyun Han, Sangdo Park, Yongdeok Kim, Jooyeon Kwon, Hoonki Kim, Seiseung Yoon |
Breakthrough Design Technology Co-optimization using BSPDN and Standard Cell Variants for Maximizing Block-level PPA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Technology and Circuits ![In: 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), Kyoto, Japan, June 11-16, 2023, pp. 1-2, 2023, IEEE, 978-4-86348-806-9. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
25 | Wenji Fang, Yao Lu, Shang Liu, Qijun Zhang, Ceyu Xu, Lisa Wu Wills, Hongce Zhang, Zhiyao Xie |
MasterRTL: A Pre-Synthesis PPA Estimation Framework for Any RTL Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: IEEE/ACM International Conference on Computer Aided Design, ICCAD 2023, San Francisco, CA, USA, October 28 - Nov. 2, 2023, pp. 1-9, 2023, IEEE, 979-8-3503-2225-5. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
25 | Gogireddy Ravi Kiran Reddy, Sanampudi Gopala Krishna Reddy, D. R. Vasanthi, Madhav Rao |
MNHOKA - PPA Efficient M-Term Non-Homogeneous Hybrid Overlap-free Karatsuba Multiplier for GF (2n) Polynomial Multiplier. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 41st IEEE International Conference on Computer Design, ICCD 2023, Washington, DC, USA, November 6-8, 2023, pp. 38-45, 2023, IEEE, 979-8-3503-4291-8. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
25 | Lakshmi Sarvaani P, Subba Ramkumar Reddy Annapalli, Vikramkumar Pudi |
Harnessing Hybrid Clock Tree Topology to Boost PPA in Highly Utilized Designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APCCAS ![In: IEEE Asia Pacific Conference on Circuits and Systems, APCCAS 2023, Hyderabad, India, November 19-22, 2023, pp. 299-303, 2023, IEEE, 979-8-3503-8119-1. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
25 | Pruek Vanna-Iampikul, Lingjun Zhu, Serhat Erdogan, Mohanalingam Kathaperumal, Ravi Agarwal, Ram Gupta, Kevin Rinebold, Sung Kyu Lim |
Glass Interposer Integration of Logic and Memory Chiplets: PPA and Power/Signal Integrity Benefits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: 60th ACM/IEEE Design Automation Conference, DAC 2023, San Francisco, CA, USA, July 9-13, 2023, pp. 1-6, 2023, IEEE, 979-8-3503-2348-1. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
25 | Leonardo Rezende Juracy, Alexandre de Morais Amory, Fernando Gehm Moraes |
A Fast, Accurate, and Comprehensive PPA Estimation of Convolutional Hardware Accelerators. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Circuits Syst. I Regul. Pap. ![In: IEEE Trans. Circuits Syst. I Regul. Pap. 69(12), pp. 5171-5184, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
25 | Sudhanshu Janwadkar, Rasika Dhavse |
Investigation and Analysis of Power Performance Area (PPA) Cards of Digital Multiplier Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Circuits Syst. Comput. ![In: J. Circuits Syst. Comput. 31(13), pp. 2250239:1-2250239:34, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
25 | Chunyi Zhou, Yansong Gao, Anmin Fu, Kai Chen, Zhiyang Dai, Zhi Zhang 0001, Minhui Xue, Yuqing Zhang 0001 |
PPA: Preference Profiling Attack Against Federated Learning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2202.04856, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP BibTeX RDF |
|
25 | Argyrios Deligkas, John Fearnley, Alexandros Hollender, Themistoklis Melissourgos |
Constant Inapproximability for PPA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2201.10011, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP BibTeX RDF |
|
25 | Aadesh Neupane, Michael A. Goodrich |
Efficiently Evolving Swarm Behaviors Using Grammatical Evolution With PPA-style Behavior Trees. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2203.15776, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
25 | Kathie Cameron, Jack Edmonds 0001 |
A PPA parity theorem about trees in a bipartite graph. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Discret. Appl. Math. ![In: Discret. Appl. Math. 308, pp. 107-110, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
25 | Fuping Li, Ying Wang 0001, Cheng Liu 0008, Huawei Li 0001, Xiaowei Li 0001 |
NoCeption: A Fast PPA Prediction Framework for Network-on-Chips Using Graph Neural Network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2022 Design, Automation & Test in Europe Conference & Exhibition, DATE 2022, Antwerp, Belgium, March 14-23, 2022, pp. 1035-1040, 2022, IEEE, 978-3-9819263-6-1. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
25 | Masoud Shahshahani, Dinesh Bhatia |
PPA Based CNN Architecture Explorer. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LASCAS ![In: 13th IEEE Latin America Symposium on Circuits and System, LASCAS 2022, Puerto Varas, Chile, March 1-4, 2022, pp. 1-4, 2022, IEEE, 978-1-6654-2008-2. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
25 | Vishal Khandelwal |
Machine-Learning Enabled PPA Closure for Next-Generation Designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: ISPD 2022: International Symposium on Physical Design, Virtual Event, Canada, March 27 - 30, 2022, pp. 49, 2022, ACM, 978-1-4503-9210-5. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
25 | Argyrios Deligkas, John Fearnley, Themistoklis Melissourgos |
Pizza Sharing Is PPA-Hard. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AAAI ![In: Thirty-Sixth AAAI Conference on Artificial Intelligence, AAAI 2022, Thirty-Fourth Conference on Innovative Applications of Artificial Intelligence, IAAI 2022, The Twelveth Symposium on Educational Advances in Artificial Intelligence, EAAI 2022 Virtual Event, February 22 - March 1, 2022, pp. 4957-4965, 2022, AAAI Press, 978-1-57735-876-3. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
25 | Taejoong Song, Hakchul Jung, Giyoung Yang, Hoyoung Tang, Hayoung Kim, Dongwook Seo, Hoonki Kim, Woojin Rim, Sanghoon Baek, Sangyeop Baeck, Jonghoon Jung |
3nm Gate-All-Around (GAA) Design-Technology Co-Optimization (DTCO) for succeeding PPA by Technology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CICC ![In: IEEE Custom Integrated Circuits Conference, CICC 2022, Newport Beach, CA, USA, April 24-27, 2022, pp. 1-7, 2022, IEEE, 978-1-6654-0756-4. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
25 | Yi-Chen Lu, Tian Yang, Sung Kyu Lim, Haoxing Ren |
Placement Optimization via PPA-Directed Graph Clustering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MLCAD ![In: 2022 ACM/IEEE Workshop on Machine Learning for CAD, MLCAD 2022, Virtual Event, China, September 12-13, 2022, pp. 1-6, 2022, ACM / IEEE, 978-1-4503-9486-4. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
25 | Lingjun Zhu, Nesara Eranna Bethur, Yi-Chen Lu, Youngsang Cho, Yunhyeok Im, Sung Kyu Lim |
3D IC Tier Partitioning of Memory Macros: PPA vs. Thermal Tradeoffs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: ISLPED '22: ACM/IEEE International Symposium on Low Power Electronics and Design, Boston, MA, USA, August 1 - 3, 2022, pp. 19:1-19:6, 2022, ACM, 978-1-4503-9354-6. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
25 | Argyrios Deligkas, John Fearnley, Alexandros Hollender, Themistoklis Melissourgos |
Constant inapproximability for PPA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
STOC ![In: STOC '22: 54th Annual ACM SIGACT Symposium on Theory of Computing, Rome, Italy, June 20 - 24, 2022, pp. 1010-1023, 2022, ACM, 978-1-4503-9264-8. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
25 | Costas Argyrides, Vilas Sridharan, Hayk Danoyan, Gurgen Harutyunyan, Yervant Zorian |
A Novel Protection Technique for Embedded Memories with Optimized PPA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: IEEE International Test Conference, ITC 2022, Anaheim, CA, USA, September 23-30, 2022, pp. 642-645, 2022, IEEE, 978-1-6654-6270-9. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
25 | Brian Foutz, Sarthak Singhal, Prateek Kumar Rai, Krishna Chakravadhanula, Vivek Chickermane, Bharath Nandakumar, Sameer Chillarige, Christos Papameletis, Satish Ravichandran |
PPA Optimization of Test Points in Automotive Designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: IEEE International Test Conference, ITC 2022, Anaheim, CA, USA, September 23-30, 2022, pp. 204-212, 2022, IEEE, 978-1-6654-6270-9. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
25 | Lena M. Schliephake, Ima Trempler, Marlen A. Roehe, Nina Heins, Ricarda I. Schubotz |
Positive and negative prediction error signals to violated expectations of face and place stimuli distinctively activate FFA and PPA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NeuroImage ![In: NeuroImage 236, pp. 118028, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
25 | Alexandros Hollender |
The classes PPA-k: Existence from arguments modulo k. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Theor. Comput. Sci. ![In: Theor. Comput. Sci. 885, pp. 15-29, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
25 | Xiaotie Deng, Jack R. Edmonds, Zhe Feng 0004, Zhengyang Liu 0002, Qi Qi 0003, Zeying Xu |
Understanding PPA-completeness. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Comput. Syst. Sci. ![In: J. Comput. Syst. Sci. 115, pp. 146-168, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
25 | Mohamed Arezki Mellal, Abdellah Salhi |
Multi-objective System Design Optimization via PPA and a Fuzzy Method. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Fuzzy Syst. ![In: Int. J. Fuzzy Syst. 23(5), pp. 1213-1221, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
25 | W. Rhett Davis, Paul D. Franzon, Luis Francisco, Billy Huggins, Rajeev Jain |
Fast and Accurate PPA Modeling with Transfer Learning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: IEEE/ACM International Conference On Computer Aided Design, ICCAD 2021, Munich, Germany, November 1-4, 2021, pp. 1-8, 2021, IEEE, 978-1-6654-4507-8. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
25 | Luis Francisco, Paul D. Franzon, W. Rhett Davis |
Fast and Accurate PPA Modeling with Transfer Learning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MLCAD ![In: 3rd ACM/IEEE Workshop on Machine Learning for CAD, MLCAD 2021, Raleigh, NC, USA, August 30 - Sept. 3, 2021, pp. 1-6, 2021, IEEE, 978-1-6654-3166-8. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
25 | Felix Last, Ulf Schlichtmann |
Feeding Hungry Models Less: Deep Transfer Learning for Embedded Memory PPA Models : Special Session. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MLCAD ![In: 3rd ACM/IEEE Workshop on Machine Learning for CAD, MLCAD 2021, Raleigh, NC, USA, August 30 - Sept. 3, 2021, pp. 1-6, 2021, IEEE, 978-1-6654-3166-8. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
25 | Jinwoo Kim, Lingjun Zhu, Hakki Mert Torun, Madhavan Swaminathan, Sung Kyu Lim |
Micro-bumping, Hybrid Bonding, or Monolithic? A PPA Study for Heterogeneous 3D IC Options. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: 58th ACM/IEEE Design Automation Conference, DAC 2021, San Francisco, CA, USA, December 5-9, 2021, pp. 1189-1194, 2021, IEEE, 978-1-6654-3274-0. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
25 | Lei Yang 0033, Qing Zhao 0003 |
A Novel PPA Method for Fluid Pipeline Leak Detection Based on OPELM and Bidirectional LSTM. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Access ![In: IEEE Access 8, pp. 107185-107199, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
25 | James Aisenberg, Maria Luisa Bonet, Sam Buss |
2-D Tucker is PPA complete. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Comput. Syst. Sci. ![In: J. Comput. Syst. Sci. 108, pp. 92-103, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
25 | Argyrios Deligkas, John Fearnley, Themistoklis Melissourgos |
Square-Cut Pizza Sharing is PPA-complete. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2012.14236, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP BibTeX RDF |
|
25 | Murtadha A. Alazzawi, Hasanain Abbas Hasan Al-Behadili, Mohsin N. Srayyih Almalki, Aqeel Luaibi Challoob, Mahmood Al Shareeda |
ID-PPA: Robust Identity-Based Privacy-Preserving Authentication Scheme for a Vehicular Ad-Hoc Network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACeS ![In: Advances in Cyber Security - Second International Conference, ACeS 2020, Penang, Malaysia, December 8-9, 2020, Revised Selected Papers, pp. 80-94, 2020, Springer, 978-981-33-6834-7. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
25 | Jinwoo Kim, Venkata Chaitanya Krishna Chekuri, Nael Mizanur Rahman, Majid Ahadi Dolatsara, Hakki Mert Torun, Madhavan Swaminathan, Saibal Mukhopadhyay, Sung Kyu Lim |
Silicon vs. Organic Interposer: PPA and Reliability Tradeoffs in Heterogeneous 2.5D Chiplet Integration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 38th IEEE International Conference on Computer Design, ICCD 2020, Hartford, CT, USA, October 18-21, 2020, pp. 80-87, 2020, IEEE, 978-1-7281-9710-4. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
25 | Alexandros Hollender |
The Classes PPA-k: Existence from Arguments Modulo k. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1912.03729, 2019. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP BibTeX RDF |
|
25 | JiLiang Li, WeiGuo Zhang 0001, Vivek Dabra, Kim-Kwang Raymond Choo, Saru Kumari, Dieter Hogrefe |
AEP-PPA: An anonymous, efficient and provably-secure privacy-preserving authentication protocol for mobile services in smart cities. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Netw. Comput. Appl. ![In: J. Netw. Comput. Appl. 134, pp. 52-61, 2019. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
25 | Alexandros Hollender |
The Classes PPA-k: Existence from Arguments Modulo k. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WINE ![In: Web and Internet Economics - 15th International Conference, WINE 2019, New York, NY, USA, December 10-12, 2019, Proceedings, pp. 214-227, 2019, Springer, 978-3-030-35388-9. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
25 | Sai Surya Kiran Pentapati, Da Eun Shim, Sung Kyu Lim |
Logic Monolithic 3D ICs: PPA Benefits and EDA Tools Necessary. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 2019 on Great Lakes Symposium on VLSI, GLSVLSI 2019, Tysons Corner, VA, USA, May 9-11, 2019, pp. 445-450, 2019, ACM, 978-1-4503-6252-8. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
25 | Chuan Qin 0004, Jacob Greig-Prine, Zhijie Nie, Paramarshi Banerjee, Anurag K. Srivastava 0001 |
Remote PMU Testing using Low-cost FPGA Platform and PPA following IEEE TSS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IAS ![In: 2019 IEEE Industry Applications Society Annual Meeting, Baltimore, MD, USA, September 29 - Oct. 3, 2019, pp. 1-7, 2019, IEEE, 978-1-5386-4539-0. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
25 | Shigeru Odaka, Yoshimasa Kurihara |
GR@PPA 2.9: Radiation matching for simulating photon production processes in hadron collisions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Phys. Commun. ![In: Comput. Phys. Commun. 232, pp. 214-219, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
25 | Aris Filos-Ratsikas, Paul W. Goldberg |
Consensus halving is PPA-complete. ![Search on Bibsonomy](Pics/bibsonomy.png) |
STOC ![In: Proceedings of the 50th Annual ACM SIGACT Symposium on Theory of Computing, STOC 2018, Los Angeles, CA, USA, June 25-29, 2018, pp. 51-64, 2018, ACM. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
25 | Xiaotie Deng, Zhe Feng 0004, Rucha Kulkarni |
Octahedral Tucker is PPA-Complete. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Electron. Colloquium Comput. Complex. ![In: Electron. Colloquium Comput. Complex. TR17, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP BibTeX RDF |
|
25 | Aris Filos-Ratsikas, Paul W. Goldberg |
Consensus Halving is PPA-Complete. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1711.04503, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP BibTeX RDF |
|
25 | Liang Zhu, Changqiao Xu, Jianfeng Guan, Hongke Zhang |
SEM-PPA: A semantical pattern and preference-aware service mining method for personalized point of interest recommendation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Netw. Comput. Appl. ![In: J. Netw. Comput. Appl. 82, pp. 35-46, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
25 | Caihua Chen, Yong-Jin Liu, Defeng Sun, Kim-Chuan Toh |
A semismooth Newton-CG based dual PPA for matrix spectral norm approximation problems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Math. Program. ![In: Math. Program. 155(1-2), pp. 435-470, 2016. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
25 | Mustafa Badaroglu, Jeff Xu |
Interconnect-aware device targeting from PPA perspective. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 35th International Conference on Computer-Aided Design, ICCAD 2016, Austin, TX, USA, November 7-10, 2016, pp. 26, 2016, ACM, 978-1-4503-4466-1. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
25 | Xiaotie Deng, Jack R. Edmonds, Zhe Feng 0004, Zhengyang Liu 0002, Qi Qi 0003, Zeying Xu |
Understanding PPA-Completeness. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCC ![In: 31st Conference on Computational Complexity, CCC 2016, May 29 to June 1, 2016, Tokyo, Japan, pp. 23:1-23:25, 2016, Schloss Dagstuhl - Leibniz-Zentrum für Informatik, 978-3-95977-008-8. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
25 | James Aisenberg, Maria Luisa Bonet, Sam Buss |
2-D Tucker is PPA complete. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Electron. Colloquium Comput. Complex. ![In: Electron. Colloquium Comput. Complex. TR15, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP BibTeX RDF |
|
25 | Xiaotie Deng, Zhe Feng 0004, Zhengyang Liu 0002, Qi Qi 0003 |
Understanding PPA-Completeness. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Electron. Colloquium Comput. Complex. ![In: Electron. Colloquium Comput. Complex. TR15, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP BibTeX RDF |
|
25 | Min Li, Zhikai Jiang |
The PPA-based numerical algorithm with the O(1/t) convergence rate for variant variational inequalities. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Optim. Lett. ![In: Optim. Lett. 8(4), pp. 1487-1500, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
25 | Shigeru Odaka, Yoshimasa Kurihara |
GR@PPA 2.8: Initial-state jet matching for weak-boson production processes at hadron collisions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Phys. Commun. ![In: Comput. Phys. Commun. 183(4), pp. 1014-1028, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
25 | Mi Li, Dongning Han, Shengfu Lu, Zheng Liu, Ning Zhong 0001 |
Associative Information Processing in Parahippocampal Place Area (PPA): An fMRI Study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Brain Informatics ![In: Brain Informatics - International Conference, BI 2012, Macau, China, December 4-7, 2012. Proceedings, pp. 1-9, 2012, Springer, 978-3-642-35138-9. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
25 | Stephane Bronckers, Gerd Vandersteen, Ludwig De Locht, Michael Libois, Geert Van der Plas, Yves Rolain |
Experimental Analysis of the Coupling Mechanisms Between a 4 GHz PPA and a 5-7 GHz LC -VCO. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Instrum. Meas. ![In: IEEE Trans. Instrum. Meas. 58(8), pp. 2706-2713, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
25 | Soojin Park, Marvin M. Chun |
Different roles of the parahippocampal place area (PPA) and retrosplenial cortex (RSC) in panoramic scene perception. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NeuroImage ![In: NeuroImage 47(4), pp. 1747-1756, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
25 | Soshi Tsuno, Toshiaki Kaneko, Yoshimasa Kurihara, Shigeru Odaka, Kiyoshi Kato |
GR@PPA 2.7 event generator for pp/pp collisions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Phys. Commun. ![In: Comput. Phys. Commun. 175(10), pp. 665-677, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
25 | Michelangelo Grigni |
A Sperner lemma complete for PPA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Inf. Process. Lett. ![In: Inf. Process. Lett. 77(5-6), pp. 255-259, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
25 | David C. Loose, James C. Colson |
PPA-A precise, data driven component tool. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Robotics Autom. Mag. ![In: IEEE Robotics Autom. Mag. 1(1), pp. 6-12, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
18 | Wenjing Rao, Alex Orailoglu |
Towards fault tolerant parallel prefix adders in nanoelectronic systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation and Test in Europe, DATE 2008, Munich, Germany, March 10-14, 2008, pp. 360-365, 2008, ACM, 978-3-9810801-3-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
18 | Katalin Friedl, Gábor Ivanyos, Miklos Santha, Yves F. Verhoeven |
Locally 2-Dimensional Sperner Problems Complete for the Polynomial Parity Argument Classes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CIAC ![In: Algorithms and Complexity, 6th Italian Conference, CIAC 2006, Rome, Italy, May 29-31, 2006, Proceedings, pp. 380-391, 2006, Springer, 3-540-34375-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
18 | Jeff Da Silva, J. Gregory Steffan |
A probabilistic pointer analysis for speculative optimizations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: Proceedings of the 12th International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 2006, San Jose, CA, USA, October 21-25, 2006, pp. 416-425, 2006, ACM, 1-59593-451-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
speculative optimization, dependence analysis, pointer analysis |
18 | Chia-Ping Lin, Po-Chih Tseng, Liang-Gee Chen |
Nearly Lossless Content-Dependent Low-Power DCT Design for Mobile Video Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICME ![In: Proceedings of the 2005 IEEE International Conference on Multimedia and Expo, ICME 2005, July 6-9, 2005, Amsterdam, The Netherlands, pp. 1238-1241, 2005, IEEE Computer Society, 0-7803-9331-7. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
18 | Josh Buresh-Oppenheim, Tsuyoshi Morioka |
Relativized NP Search Problems and Propositional Proof Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCC ![In: 19th Annual IEEE Conference on Computational Complexity (CCC 2004), 21-24 June 2004, Amherst, MA, USA, pp. 54-67, 2004, IEEE Computer Society, 0-7695-2120-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
18 | Geir J. Husøy, Edgar Karlsen, Arnt Hugo Lund |
Openness and Cross-Functional Risk Reduction - The Key to a Successful Development Project? - "Hindsight in Advance" within and between Organizations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PAKM ![In: Practical Aspects of Knowledge Management, 4th International Conference, PAKM 2002, Vienna, Austria, December 2-3, 2002, Proceedings, pp. 571-584, 2002, Springer, 3-540-00314-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
18 | Reinhard Diestel |
Relating Subsets of a Poset, and a Partition Theorem for WQOs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Order ![In: Order 18(3), pp. 275-279, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
WQO, partition, graph minors, well-quasi-order |
18 | Ranit Aharonov-Barki, Isaac Meilijson, Eytan Ruppin |
Understanding the Agent's Brain: A Quantitative Approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECAL ![In: Advances in Artificial Life, 6th European Conference, ECAL 2001, Prague, Czech Republic, September 10-14, 2001, Proceedings, pp. 216-225, 2001, Springer, 3-540-42567-5. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
18 | Dany Mezher |
A graphical tool for driving the parallel computation of pseudosprectra. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 15th international conference on Supercomputing, ICS 2001, Sorrento, Napoli, Italy, June 16-21, 2001, pp. 270-276, 2001, ACM, 1-58113-410-X. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
pseudospectrum, parallelism, graphical user interface, application, path following |
18 | Ming-Jye Sheu, Timothy J. Long |
UP and the Low and High Hierarchies: A Relativized Separation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICALP ![In: Automata, Languages and Programming, 19th International Colloquium, ICALP92, Vienna, Austria, July 13-17, 1992, Proceedings, pp. 174-185, 1992, Springer, 3-540-55719-9. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|