|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 795 occurrences of 599 keywords
|
|
|
Results
Found 776 publication records. Showing 776 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
105 | Mark Natale, Mark Baker, Roger Collins, David Wilson, Stephen Lucci, Izidor Gertner |
Pentium MPP for OLTP applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS (1) ![In: 28th Annual Hawaii International Conference on System Sciences (HICSS-28), January 3-6, 1995, Kihei, Maui, Hawaii, USA, pp. 95-102, 1995, IEEE Computer Society, 0-8186-6945-4. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
Pentium MPP, OLTP applications, multi-Pentium architecture, I/O bus subsystem, Pentium processors, multiple boards, multiple cabinets, reflective memory, parallel architectures, transaction processing, shared memory systems, random-access storage, RAM, massively parallel processing, hierarchical architecture, hierarchical memory |
74 | Mitsuru Matsui, Sayaka Fukuda |
How to Maximize Software Performance of Symmetric Primitives on Pentium III and 4 Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FSE ![In: Fast Software Encryption: 12th International Workshop, FSE 2005, Paris, France, February 21-23, 2005, Revised Selected Papers, pp. 398-412, 2005, Springer, 3-540-26541-4. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
70 | Dileep Bhandarkar, Jianxun Jason Ding |
Performance Characterization of the Pentium(r) Pro Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the 3rd IEEE Symposium on High-Performance Computer Architecture (HPCA '97), San Antonio, Texas, USA, February 1-5, 1997, pp. 288-299, 1997, IEEE Computer Society, 0-8186-7764-3. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
Pentium® Pro processor, SPEC CPU95, SYSmark/NT, performance evaluation, computer architecture, workload characterization, speculative execution, out of order execution |
70 | Antoon Bosselaers, René Govaerts, Joos Vandewalle |
Fast Hashing on the Pentium. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CRYPTO ![In: Advances in Cryptology - CRYPTO '96, 16th Annual International Cryptology Conference, Santa Barbara, California, USA, August 18-22, 1996, Proceedings, pp. 298-312, 1996, Springer, 3-540-61512-1. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
Pentium processor, Parallel implementation, Software performance, Cryptographic hash functions |
70 | Goutam Debnath, Kathy Debnath, Roshan Fernando |
The Pentium processor-90/100, microarchitecture and low power circuit design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 8th International Conference on VLSI Design (VLSI Design 1995), 4-7 January 1995, New Delhi, India, pp. 185-190, 1995, IEEE Computer Society, 0-8186-6905-5. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
BIMOS integrated circuits, Pentium processor-90/100, low power circuit design, BiNMOS process, power consumption reduction, symmetric dual processing feature, multithreaded operating systems, 0.6 micron, 3.3 V, computer architecture, microarchitecture, integrated circuit design, microprocessor chips, 100 MHz |
63 | Yale N. Patt |
The microprocessor of the year 2014: do Pentium 4, Pentium M, and Power 5 provide any hints? ![Search on Bibsonomy](Pics/bibsonomy.png) |
AICCSA ![In: 2005 ACS / IEEE International Conference on Computer Systems and Applications (AICCSA 2005), January 3-6, 2005, Cairo, Egypt, pp. 1, 2005, IEEE Computer Society, 0-7803-8735-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
62 | Shih-Lien Lu, Peter Yiannacouras, Taeweon Suh, Rolf Kassa, Michael Konow |
A Desktop Computer with a Reconfigurable Pentium®. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Reconfigurable Technol. Syst. ![In: ACM Trans. Reconfigurable Technol. Syst. 1(1), pp. 5:1-5:15, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Pentium®, simulator, model, FPGA, architecture, operating system, reconfigurable, emulator, exploration, accelerator, processor |
62 | Matthew Henricksen, Ed Dawson |
Ensuring Fast Implementations of Symmetric Ciphers on the Intel Pentium 4 and Beyond. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACISP ![In: Information Security and Privacy, 11th Australasian Conference, ACISP 2006, Melbourne, Australia, July 3-5, 2006, Proceedings, pp. 52-63, 2006, Springer, 3-540-35458-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Intel Pentium 4, Dragon, HC-256, MAG, Mir-1, Py, Implementation, Stream cipher, RC4 |
55 | Helger Lipmaa |
IDEA: A Cipher For Multimedia Architectures? ![Search on Bibsonomy](Pics/bibsonomy.png) |
Selected Areas in Cryptography ![In: Selected Areas in Cryptography '98, SAC'98, Kingston, Ontario, Canada, August 17-18, 1998, Proceedings, pp. 248-263, 1998, Springer, 3-540-65894-7. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
multimedia architectures, Pentium MMX, block ciphers, IDEA, fast implementations |
53 | Shih-Lien Lu, Peter Yiannacouras, Rolf Kassa, Michael Konow, Taeweon Suh |
An FPGA-based Pentium in a complete desktop system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the ACM/SIGDA 15th International Symposium on Field Programmable Gate Arrays, FPGA 2007, Monterey, California, USA, February 18-20, 2007, pp. 53-59, 2007, ACM, 978-1-59593-600-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
pentium®, FPGA, emulator, accelerator, processor |
53 | Daniel J. Deleganes, Micah Barany, George L. Geannopoulos, Kurt Kreitzer, Anant P. Singh, Sapumal B. Wijeratne |
Low voltage swing logic circuits for a Pentium 4 processor integer core. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 41th Design Automation Conference, DAC 2004, San Diego, CA, USA, June 7-11, 2004, pp. 678-680, 2004, ACM, 1-58113-828-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
LVS, Pentium® 4 processor, integer core, low voltage swing, sense-amp, microprocessor, rotator, adder |
47 | Ho-Ling Fu, Chin-Yuen Huang, Wei-Chiang Chang |
Real Time Simulation for Parameter Optimization with Pentium D and Pentium Core 2 T7400 Microprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSIE (3) ![In: CSIE 2009, 2009 WRI World Congress on Computer Science and Information Engineering, March 31 - April 2, 2009, Los Angeles, California, USA, 7 Volumes, pp. 515-519, 2009, IEEE Computer Society, 978-0-7695-3507-4. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
47 | Tom Shanley |
Pentium Pro and Pentium II system architecture (2. ed.). ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
1998 |
RDF |
|
45 | Manuel Blum 0001, Hal Wasserman |
Reflections on the Pentium Bug. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 45(4), pp. 385-393, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
Pentium, fault tolerance, reliability, verification, concurrent error detection, Built-in testing, result-checking |
40 | Roope Kaivola |
Formal Verification of Pentium® 4 Components with Symbolic Simulation and Inductive Invariants. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CAV ![In: Computer Aided Verification, 17th International Conference, CAV 2005, Edinburgh, Scotland, UK, July 6-10, 2005, Proceedings, pp. 170-184, 2005, Springer, 3-540-27231-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
40 | Brinkley Sprunt |
Pentium 4 Performance-Monitoring Features. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 22(4), pp. 72-82, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
40 | Bob Bentley |
alidating the Intel® Pentium® 4 Microprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSN ![In: 2001 International Conference on Dependable Systems and Networks (DSN 2001) (formerly: FTCS), 1-4 July 2001, Göteborg, Sweden, Proceedings, pp. 493-500, 2001, IEEE Computer Society, 0-7695-1101-5. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
40 | Qiang Cao, Josep Torrellas, Pedro Trancoso, Josep Lluís Larriba-Pey, Bob Knighten, Youjip Won |
Detailed Characterization of a Quad Pentium Pro Server Running TPC-D. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: Proceedings of the IEEE International Conference On Computer Design, VLSI in Computers and Processors, ICCD '99, Austin, Texas, USA, October 10-13, 1999, pp. 108-, 1999, IEEE Computer Society, 0-7695-0406-X. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
Multiprocessor server, TPC-D, Decision support, Performance characterization, Hardware counter |
38 | Junko Nakajima, Mitsuru Matsui |
Performance Analysis and Parallel Implementation of Dedicated Hash Functions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUROCRYPT ![In: Advances in Cryptology - EUROCRYPT 2002, International Conference on the Theory and Applications of Cryptographic Techniques, Amsterdam, The Netherlands, April 28 - May 2, 2002, Proceedings, pp. 165-180, 2002, Springer, 3-540-43553-0. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
dedicated hash functions, Pentium III, parallel implementations |
38 | Miriam Leeser, John W. O'Leary |
Verification of a subtractive radix-2 square root algorithm and implementation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 1995 International Conference on Computer Design (ICCD '95), VLSI in Computers and Processors, October 2-4, 1995, Austin, TX, USA, Proceedings, pp. 526-531, 1995, IEEE Computer Society, 0-8186-7165-3. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
subtractive radix-2 square root, floating point square root hardware, Intel Pentium, radix-2 square root, MIPS R4400, RTL level, verification, formal verification, theorem proving, theorem proving, floating point arithmetic, optimizing transformations |
34 | Ben Wun, Jeremy Buhler, Patrick Crowley |
Exploiting Coarse-Grained Parallelism to Accelerate Protein Motif Finding with a Network Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: 14th International Conference on Parallel Architectures and Compilation Techniques (PACT 2005), 17-21 September 2005, St. Louis, MO, USA, pp. 173-184, 2005, IEEE Computer Society, 0-7695-2429-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
34 | Deependra Talla, Lizy Kurian John, Viktor S. Lapinskii, Brian L. Evans |
Evaluating Signal Processing and Multimedia Applications on SIMD, VLIW and Superscalar Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: Proceedings of the IEEE International Conference On Computer Design: VLSI In Computers & Processors, ICCD '00, Austin, Texas, USA, September 17-20, 2000, pp. 163-172, 2000, IEEE Computer Society, 0-7695-0801-4. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
32 | Steve Haynal, Timothy Kam, Michael Kishinevsky, Emily Shriver, Xinning Wang |
A System Verilog Rewriting System for RTL Abstraction with Pentium Case Study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MEMOCODE ![In: 6th ACM & IEEE International Conference on Formal Methods and Models for Co-Design (MEMOCODE 2008), June 5-7, 2008, Anaheim, CA, USA, pp. 79-88, 2008, IEEE Computer Society, 978-1-4244-2417-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
32 | Daniel Chen 0001, Gabriela Jacques-Silva, Zbigniew Kalbarczyk, Ravishankar K. Iyer, Bruce G. Mealey |
Error Behavior Comparison of Multiple Computing Systems: A Case Study Using Linux on Pentium, Solaris on SPARC, and AIX on POWER. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PRDC ![In: 14th IEEE Pacific Rim International Symposium on Dependable Computing, PRDC 2008, 15-17 December 2008, Taipei, Taiwan, pp. 339-346, 2008, IEEE Computer Society, 978-0-7695-3448-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
32 | Heather Hanson, Stephen W. Keckler, Soraya Ghiasi, Karthick Rajamani, Freeman L. Rawson III, Juan Rubio 0001 |
Thermal response to DVFS: analysis with an Intel Pentium M. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2007 International Symposium on Low Power Electronics and Design, 2007, Portland, OR, USA, August 27-29, 2007, pp. 219-224, 2007, ACM, 978-1-59593-709-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
thermal measurement, microprocessor, temperature, DVFS, thermal management |
32 | Robert P. Colwell |
The Pentium Chronicles: Introduction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computer ![In: Computer 39(1), pp. 49-54, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Professional conduct, P6 microarchitecture, Project management, Microprocessors |
32 | Weining Gu, Zbigniew Kalbarczyk, Ravishankar K. Iyer |
Error Sensitivity of the Linux Kernel Executing on PowerPC G4 and Pentium 4 Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSN ![In: 2004 International Conference on Dependable Systems and Networks (DSN 2004), 28 June - 1 July 2004, Florence, Italy, Proceedings, pp. 887-, 2004, IEEE Computer Society, 0-7695-2052-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
32 | Srihari Makineni, Ravi R. Iyer 0001 |
Architectural Characterization of TCP/IP Packet Processing on the Pentium M Microprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 10th International Conference on High-Performance Computer Architecture (HPCA-10 2004), 14-18 February 2004, Madrid, Spain, pp. 152-163, 2004, IEEE Computer Society, 0-7695-2053-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
32 | Haleh Najafzadeh, Seth Chaiken |
Validated observation and reporting of microscopic performance using Pentium II counter facilities. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WOSP ![In: Proceedings of the Fourth International Workshop on Software and Performance, WOSP 2004, Redwood Shores, California, USA, January 14-16, 2004, pp. 161-165, 2004, ACM. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
32 | Roope Kaivola, Katherine R. Kohatsu |
Proof engineering in the large: formal verification of Pentium?4 floating-point divider. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Softw. Tools Technol. Transf. ![In: Int. J. Softw. Tools Technol. Transf. 4(3), pp. 323-334, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
Formal verification, Microprocessor, Arithmetic |
32 | Nathan Tuck, Dean M. Tullsen |
Initial Observations of the Simultaneous Multithreading Pentium 4 Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: 12th International Conference on Parallel Architectures and Compilation Techniques (PACT 2003), 27 September - 1 October 2003, New Orleans, LA, USA, pp. 26-34, 2003, IEEE Computer Society, 0-7695-2021-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
32 | John S. Seng, Dean M. Tullsen |
The Effect of Compiler Optimizations on Pentium 4 Power Consumption. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Interaction between Compilers and Computer Architectures ![In: 7th Annual Workshop on Interaction between Compilers and Computer Architecture (INTERACT-7 2003), 8 February 2003, Anaheim, CA, USA, pp. 51-56, 2003, IEEE Computer Society, 0-7695-1889-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
32 | Xinmin Tian, Milind Girkar, Sanjiv Shah, Douglas Armstrong, Ernesto Su, Paul Petersen |
Compiler and Runtime Support for Running OpenMP Programs on Pentium-and Itanium-Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HIPS ![In: Eighth International Workshop on High-Level Parallel Programming Models and Supportive Environments (HIPS'03), April 22-22, 2003, Nice, France, pp. 47-55, 2003, IEEE Computer Society, 0-7695-1880-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
Hyper-Threading technology, Parallelization, OpenMP, compiler optimization, shared-memory multiprocessor, thread-level parallelism |
32 | Xinmin Tian, Milind Girkar, Sanjiv Shah, Douglas Armstrong, Ernesto Su, Paul Petersen |
Compiler and Runtime Support for Running OpenMP Programs on Pentium- and Itanium-Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 17th International Parallel and Distributed Processing Symposium (IPDPS 2003), 22-26 April 2003, Nice, France, CD-ROM/Abstracts Proceedings, pp. 130, 2003, IEEE Computer Society, 0-7695-1926-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
Hyper-Threading technology, Parallelization, OpenMP, compiler optimization, shared-memory multiprocessor, thread-level parallelism |
32 | Akihito Takahashi, Mostafa I. Soliman, Stanislav Sedukhin |
Parallel LU-decomposition on Pentium Streaming SIMD Extensions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISHPC ![In: High Performance Computing, 5th International Symposium, ISHPC 2003, Tokyo-Odaiba, Japan, October 20-22, 2003, Proceedings, pp. 423-430, 2003, Springer, 3-540-20359-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
streaming SIMD, performance evaluation, parallel processing, data reusing, Gaussian elimination |
32 | Rajesh Kumar 0006 |
Interconnect and noise immunity design for the Pentium 4 processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 40th Design Automation Conference, DAC 2003, Anaheim, CA, USA, June 2-6, 2003, pp. 938-943, 2003, ACM, 1-58113-688-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
32 | Roope Kaivola, Naren Narasimhan |
Formal Verification of the Pentium ® 4 Floating-Point Multiplier. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2002 Design, Automation and Test in Europe Conference and Exposition (DATE 2002), 4-8 March 2002, Paris, France, pp. 20-27, 2002, IEEE Computer Society, 0-7695-1471-5. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
32 | Daniel Etiemble |
Numerical Applications and Sub-Word Parallelism: The NAS Benchmarks on a Pentium 4. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCS ![In: 16th Annual International Symposium on High Performance Computing Systems and Applications, HPCS 2002, June 16-19, 2002, Moncton, NB, Canada, pp. 205-212, 2002, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
32 | Roope Kaivola, Katherine R. Kohatsu |
Proof Engineering in the Large: Formal Verification of Pentium® 4 Floating-Point Divider. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHARME ![In: Correct Hardware Design and Verification Methods, 11th IFIP WG 10.5 Advanced Research Working Conference, CHARME 2001, Livingston, Scotland, UK, September 4-7, 2001, Proceedings, pp. 196-211, 2001, Springer, 3-540-42541-1. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
32 | Srinivas K. Raman, Vladimir M. Pentkovski, Jagannath Keshava |
Implementing Streaming SIMD Extensions on the Pentium III Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 20(4), pp. 47-57, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
32 | Kimberly Keeton, David A. Patterson 0001, Yong Qiang He, Roger C. Raphael, Walter E. Baker |
Performance Characterization of a Quad Pentium Pro SMP using OLTP Workloads. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 25th Annual International Symposium on Computer Architecture, ISCA 1998, Barcelona, Spain, June 27 - July 1, 1998, pp. 15-26, 1998, IEEE Computer Society, 0-8186-8491-7. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
32 | Bruce Schneier, Doug Whiting |
Fast Software Encryption: Designing Encryption Algorithms for Optimal Software Speed on the Intel Pentium Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FSE ![In: Fast Software Encryption, 4th International Workshop, FSE '97, Haifa, Israel, January 20-22, 1997, Proceedings, pp. 242-259, 1997, Springer, 3-540-63247-6. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
32 | Vaughan R. Pratt |
Anatomy of the Pentium Bug. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TAPSOFT ![In: TAPSOFT'95: Theory and Practice of Software Development, 6th International Joint Conference CAAP/FASE, Aarhus, Denmark, May 22-26, 1995, Proceedings, pp. 97-107, 1995, Springer, 3-540-59293-8. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
30 | Vipin Saxena, Deepak Arora |
Performance evaluation for object oriented software systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM SIGSOFT Softw. Eng. Notes ![In: ACM SIGSOFT Softw. Eng. Notes 34(2), pp. 1-5, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Pentium D, core 2 duo, visual C#, UML class diagram, UML sequence diagram, visual C++ |
30 | Raúl Rojas 0001, Dag Spicer, Rocky R. Clark, Gerald Friedland |
Reviews. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Ann. Hist. Comput. ![In: IEEE Ann. Hist. Comput. 29(2), pp. 74-77, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
computer hacks, computer pranks, Apple Computer, Eckert-Mauchly Computer Co., Engineering Research Associates, Pentium chip |
30 | Scott Davidson 0001 |
An insider's look at microprocessor design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test Comput. ![In: IEEE Des. Test Comput. 23(2), pp. 162-163, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Pentium Pro, management, Intel, microprocessor design |
30 | Canturk Isci, Margaret Martonosi |
Runtime Power Monitoring in High-End Processors: Methodology and Empirical Data. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 36th Annual International Symposium on Microarchitecture, San Diego, CA, USA, December 3-5, 2003, pp. 93-104, 2003, IEEE Computer Society, 0-7695-2043-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
Intel Pentium |
30 | Shmuel Peleg, Joshua Herman |
Panoramic mosaics by manifold projection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CVPR ![In: 1997 Conference on Computer Vision and Pattern Recognition (CVPR '97), June 17-19, 1997, San Juan, Puerto Rico, pp. 338-343, 1997, IEEE Computer Society, 0-8186-7822-4. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
panoramic mosaics, manifold projection, optical center, Quicktime VR, Surround Video, frame alignment, single reference frame, hand-held camera motion, virtual manifold, image plane translations, Pentium-PC, real-time system, quality, image matching, camera, video sequence, distortions, field of view, image rotations, image deformation, fish-eye lens |
30 | Frédéric Pétrot, Denis Hommais, Alain Greiner |
Cycle precise core based hardware/software system simulation with predictable event propagation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUROMICRO ![In: 23rd EUROMICRO Conference '97, New Frontiers of Information Technology, 1-4 September 1997, Budapest, Hungary, pp. 182-187, 1997, IEEE Computer Society, 0-8186-8129-2. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
hardware software system simulation, predictable event propagation, cycle precise core based system simulator, digital embedded systems, Mealy signals, combinational signals, MIPS R3000, microprocessor core, PI-Bus, Pentium 120, communication, graph, C, high level synthesis, memories, directed graph, FSM, topological sort, compile-time, communicating finite state machines |
30 | Cezary Dubnicki, Liviu Iftode, Edward W. Felten, Kai Li 0001 |
Software Support for Virtual Memory-Mapped Communication. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPPS ![In: Proceedings of IPPS '96, The 10th International Parallel Processing Symposium, April 15-19, 1996, Honolulu, Hawaii, USA, pp. 372-281, 1996, IEEE Computer Society, 0-8186-7255-2. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
virtual memory-mapped communication, virtual address space, full protection, user-level buffer management, zero-copy protocols, software communication overhead, SHRIMP project, hardware performance, Pentium personal computer, user-to-user latency, peak hardware bandwidth, 23 MB/s, performance evaluation, software architecture, operating system, application program interfaces, application program interface, client-server systems, operating systems (computers), data transfer, network interfaces, network interfaces, communication model, virtual storage, microcomputers, data handling |
25 | Dimitris Theodoropoulos, Catalin Bogdan Ciobanu, Georgi Kuzmanov |
Wave field synthesis for 3D audio: architectural prospectives. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conf. Computing Frontiers ![In: Proceedings of the 6th Conference on Computing Frontiers, 2009, Ischia, Italy, May 18-20, 2009, pp. 127-136, 2009, ACM, 978-1-60558-413-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
general purpose GPU computing, reconfigurable computing, 3D audio, wave field synthesis |
25 | Wessam Hassanein, Layali K. Rashid, Moustafa A. Hammad |
Analyzing the Effects of Hyperthreading on the Performance of Data Management Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Parallel Program. ![In: Int. J. Parallel Program. 36(2), pp. 206-225, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Hyper-threaded architectures, Performance, Databases, Simultaneous multithreading, Data management systems |
25 | Lu Peng 0001, Jih-Kwon Peir, Tribuvan K. Prakash, Yen-Kuang Chen, David M. Koppelman |
Memory Performance and Scalability of Intel's and AMD's Dual-Core Processors: A Case Study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPCCC ![In: Proceedings of the 26th IEEE International Performance Computing and Communications Conference, IPCCC 2007, April 11-13, 2007, New Orleans, Louisiana, USA, pp. 55-64, 2007, IEEE Computer Society, 1-4244-1138-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
25 | Sung-Chul Han, Franz Franchetti, Markus Püschel |
Program generation for the all-pairs shortest path problem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: 15th International Conference on Parallel Architectures and Compilation Techniques (PACT 2006), Seattle, Washington, USA, September 16-20, 2006, pp. 222-232, 2006, ACM, 1-59593-264-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Floyd-Warshall algorithm, SIMD vectorization, empirical search, tiling, blocking |
25 | Asadollah Shahbahrami, Ben H. H. Juurlink, Stamatis Vassiliadis |
Improving the memory behavior of vertical filtering in the discrete wavelet transform. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conf. Computing Frontiers ![In: Proceedings of the Third Conference on Computing Frontiers, 2006, Ischia, Italy, May 3-5, 2006, pp. 253-260, 2006, ACM, 1-59593-302-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
performance, cache, memory hierarchy, discrete wavelet transform |
25 | Wessam Hassanein, Moustafa A. Hammad, Layali K. Rashid |
Characterizing the Performance of Data Management Systems on Hyper-Threaded Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBAC-PAD ![In: 18th Symposium on Computer Architecture and High Performance Computing (SBAC-PAD 2006), 17-20 October 2006, Ouro Preto, Minas Gerais, Brazil, pp. 99-106, 2006, IEEE Computer Society, 0-7695-2704-3. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
25 | Julie Langou, Julien Langou, Piotr Luszczek, Jakub Kurzak, Alfredo Buttari, Jack J. Dongarra |
Tools and techniques for performance - Exploiting the performance of 32 bit floating point arithmetic in obtaining 64 bit accuracy (revisiting iterative refinement for linear systems). ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings of the ACM/IEEE SC2006 Conference on High Performance Networking and Computing, November 11-17, 2006, Tampa, FL, USA, pp. 113, 2006, ACM Press, 0-7695-2700-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
25 | Muhammet Fikret Ercan, Yu-Fai Fung |
Parallel High-Level Image Processing on a Standard PC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCSA (1) ![In: Computational Science and Its Applications - ICCSA 2003, International Conference, Montreal, Canada, May 18-21, 2003, Proceedings, Part I, pp. 752-760, 2003, Springer, 3-540-40155-5. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
23 | |
Pentium microprocessor system structure and principal of political teaching and teaching material improvement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microprocess. Microsystems ![In: Microprocess. Microsystems 83, pp. 104015, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
23 | |
Pentium. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Encyclopedia of Parallel Computing ![In: Encyclopedia of Parallel Computing, pp. 1506, 2011, Springer, 978-0-387-09765-7. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
23 | Pradeep S. Nair, Dhireesha Kudithipudi, Eugene B. John, Fred W. Hudson |
Execution characteristics of embedded applications on a Pentium 4-based personal computer. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Embed. Comput. ![In: J. Embed. Comput. 4(3-4), pp. 107-116, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
23 | Christopher Martinez, Mythri Pinnamaneni, Eugene B. John |
Performance of commercial multimedia workloads on the Intel Pentium 4: A case study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Electr. Eng. ![In: Comput. Electr. Eng. 35(1), pp. 18-32, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
23 | Borko D. Stosic |
Fast Random Number Generation Using 128-Bit Multimedia Extension Registers on Pentium Class Machines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Commun. Stat. Simul. Comput. ![In: Commun. Stat. Simul. Comput. 37(2), pp. 360-367, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Sapumal B. Wijeratne, Nanda Siddaiah, Sanu K. Mathew, Mark A. Anders 0001, Ram K. Krishnamurthy, Jeremy Anderson, Matthew Ernest, Mark D. Nardin |
A 9-GHz 65-nm Intel® Pentium 4 Processor Integer Execution Unit. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE J. Solid State Circuits ![In: IEEE J. Solid State Circuits 42(1), pp. 26-37, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
23 | Pradeep S. Nair, Eugene John |
Performance Analysis of an Intel Pentium-4 Based Personal Computer for Multiplke Sequence Alignment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CDES ![In: Proceedings of the 2007 International Conference on Computer Design, CDES 2007, Las Vegas, Nevada, USA, June 25-28, 2007, pp. 74-77, 2007, CSREA Press, 1-60132-036-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP BibTeX RDF |
|
23 | Mitsuru Matsui, Sayaka Fukuda |
How to Maximize Software Performance of Symmetric Primitives on Pentium III and 4. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Trans. Fundam. Electron. Commun. Comput. Sci. ![In: IEICE Trans. Fundam. Electron. Commun. Comput. Sci. 89-A(1), pp. 2-10, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Vishal Bhargava, N. Haider, N. Sarpotdar |
IO Clock Network Skew & Performance Analysis: A Pentium-D Case Study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CICC ![In: Proceedings of the IEEE 2006 Custom Integrated Circuits Conference, CICC 2006, DoubleTree Hotel, San Jose, California, USA, September 10-13, 2006, pp. 345-348, 2006, IEEE, 1-4244-0075-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Sapumal B. Wijeratne, Nanda Siddaiah, Sanu Mathew, Mark A. Anders 0001, Ram Krishnamurthy 0001, Jeremy Anderson, Seung Hwang, Matthew Ernest, Mark D. Nardin |
A 9GHz 65nm Intel Pentium 4 Processor Integer Execution Core. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSCC ![In: 2006 IEEE International Solid State Circuits Conference, ISSCC 2006, Digest of Technical Papers, an Francisco, CA, USA, February 6-9, 2006, pp. 353-365, 2006, IEEE, 1-4244-0079-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Pradeep S. Nair, Dhireesha Kudithipudi, Eugene John, Fred W. Hudson |
Performance Analysis of Embedded Applications on a Pentium-4 Based Machine. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESA ![In: Proceedings of the 2006 International Conference on Embedded Systems & Applications, Las Vegas, Nevada, USA, June 26-29, 2006, pp. 191-197, 2006, CSREA Press, 1-60132-017-5. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP BibTeX RDF |
|
23 | Daniel J. Deleganes, Micah Barany, George L. Geannopoulos, Kurt Kreitzer, Matthew Morrise, Dan Milliron, Anant P. Singh, Sapumal B. Wijeratne |
Low-voltage swing logic circuits for a Pentium® 4 processor integer core. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE J. Solid State Circuits ![In: IEEE J. Solid State Circuits 40(1), pp. 36-43, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
23 | Kuoying Wang, Dudley E. Shallcross, Simon M. Hall, Y.-H. Lo, C. Chou, D. Chen |
DOBSON: a Pentium-based SMP Linux PC Beowulf for distributed-memory high resolution environment modelling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Environ. Model. Softw. ![In: Environ. Model. Softw. 20(10), pp. 1299-1306, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
23 | Sivarama P. Dandamudi |
Introduction to Assembly Language Programming - For Pentium and RISC Processors, Second Edition. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2005 |
DOI RDF |
|
23 | Junko Nakajima, Mitsuru Matsui |
Performance Analysis and Parallel Implementation of Dedicated Hash Functions on Pentium III. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Trans. Fundam. Electron. Commun. Comput. Sci. ![In: IEICE Trans. Fundam. Electron. Commun. Comput. Sci. 86-A(1), pp. 54-63, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP BibTeX RDF |
|
23 | Daniel Etiemble |
Optimizing DSP and media benchmarks for Pentium 4: hardware and software issues. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICME (2) ![In: Proceedings of the 2002 IEEE International Conference on Multimedia and Expo, ICME 2002, Lausanne, Switzerland. August 26-29, 2002. Volume II, pp. 109-112, 2002, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
23 | Nasser A. Kurd, Javed S. Barkatullah, Rommel O. Dizon, Thomas D. Fletcher, Paul D. Madland |
A multigigahertz clocking scheme for the Pentium(R) 4 microprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE J. Solid State Circuits ![In: IEEE J. Solid State Circuits 36(11), pp. 1647-1653, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
23 | Pohsiang Hsu, K. J. Ray Liu |
Software Optimization of Video Codecs on Pentium Processor with MMX Technology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EURASIP J. Adv. Signal Process. ![In: EURASIP J. Adv. Signal Process. 2001(2), pp. 100-109, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
23 | Yasushi Sugimura, Seiichi Ido |
A Super Tracer and an Analyzer for Analyzing Detailed Behavior of a Linux on a Pentium Family Processor (STDB). ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECBS ![In: 8th IEEE International Conference on Engineering of Computer-Based Systems (ECBS 2001), 17-20 April 2001, Washington, DC, USA, pp. 298-, 2001, IEEE Computer Society, 0-7695-1086-8. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
23 | Roope Kaivola, Naren Narasimhan |
Formal verification of the Pentium(R) 4 multiplier. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HLDVT ![In: Proceedings of the Sixth IEEE International High-Level Design Validation and Test Workshop 2001, Monterey, California, USA, November 7-9, 2001, pp. 115-120, 2001, IEEE Computer Society, 0-7695-1411-1. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
23 | Venkatram Krishnaswamy, A. B. Ma, Praveen Vishakantaiah |
A study of bridging defect probabilities on a Pentium (TM) 4 CPU. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: Proceedings IEEE International Test Conference 2001, Baltimore, MD, USA, 30 October - 1 November 2001, pp. 688-695, 2001, IEEE Computer Society, 0-7803-7169-0. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
23 | Enrique Frías-Martínez, Julio Gutiérrez-Ríos, Felipe Fernández-Hernández |
Real-time full-programmable fuzzy processor on an Intel Pentium III. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUSFLAT Conf. ![In: Proceedings of the 2nd International Conference in Fuzzy Logic and Technology, Leicester, United Kingdom, September 5-7, 2001, pp. 67-70, 2001, De Montfort University, Leicester, UK. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP BibTeX RDF |
|
23 | Bob Bentley |
Validating the Intel Pentium 4 Microprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 38th Design Automation Conference, DAC 2001, Las Vegas, NV, USA, June 18-22, 2001, pp. 244-248, 2001, ACM, 1-58113-297-2. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
23 | John Scott Robin, Cynthia E. Irvine |
Analysis of the Intel Pentium's Ability to Support a Secure Virtual Machine Monitor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
USENIX Security Symposium ![In: 9th USENIX Security Symposium, Denver, Colorado, USA, August 14-17, 2000, 2000, USENIX Association. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP BibTeX RDF |
|
23 | Pohsiang Hsu, K. J. Ray Liu |
Software Optimization of H.263 Video Encoder on Pentium Processor with MMX Technology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE International Conference on Multimedia and Expo (I) ![In: 2000 IEEE International Conference on Multimedia and Expo, ICME 2000, New York, NY, USA, July 30 - August 2, 2000, pp. 103-106, 2000, IEEE Computer Society, 0-7803-6536-4. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
23 | Lauren May, Lyta Penna, Andrew J. Clark |
An Implementation of Bitsliced DES on the Pentium MMXTM Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACISP ![In: Information Security and Privacy, 5th Australasian Conference, ACISP 2000, Brisbane, Australia, July 10-12, 2000, Proceedings, pp. 112-122, 2000, Springer, 3-540-67742-9. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
23 | Boris M. Chernyavsky, Doyle D. Knight |
Comparison of CFD Code Performance on SGI Power Onyx and Pentium Cluster. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDPTA ![In: Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, PDPTA 2000, June 24-29, 2000, Las Vegas, Nevada, USA, 2000, CSREA Press. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP BibTeX RDF |
|
23 | Daniel C. Barkley |
Joint electronic library: Department of Defense, Joint Chiefs of Staff. Developed by OC Incorporated for J-7, Joint Staff Doctrine Division. CD-ROM, 1997 (SuDoc Number: D 5.21: 997-2). Internet: http: //www.dtic.mil/doctrine/. Operating system required: 486/33 PC (Pentium PC recommended) MS Windows 3.1, Windows 95, Windows NT 3.5, OS/2, 2.2 or later (running Windows compatibility mode) 8 MB of RAM (16 MB recommended) 5 MB of hard disk space VGA (SVGA, or higher resolution recommended). ![Search on Bibsonomy](Pics/bibsonomy.png) |
Gov. Inf. Q. ![In: Gov. Inf. Q. 16(1), pp. 80-81, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
23 | Herbert Cornelius |
Die Intel Pentium III Prozessor Seriennummer. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Datenschutz und Datensicherheit ![In: Datenschutz und Datensicherheit 23(9), 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP BibTeX RDF |
|
23 | Jeremy B. Rodgers, Rhonda Kay Gaede, Jeffrey H. Kulick |
IN-Tune: An In-Situ Non-Invasive Performance Tuning Tool for Multi-threaded Linux on Symmetric Multiprocessing Pentium Workstations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Softw. Pract. Exp. ![In: Softw. Pract. Exp. 29(9), pp. 775-792, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
23 | Yasuyuki Sakai |
Over Fp vs. over F2n and on Pentium vs. on Alpha in Software Implementation of Hyperelliptic Curve Cryptosystems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICISC ![In: Information Security and Cryptology - ICISC'99, Second International Conference, Seoul, Korea, December 9-10, 1999, Proceedings, pp. 82-101, 1999, Springer, 3-540-67380-6. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
23 | Adrian Carbine, Derek Feltham |
Pentium Pro Processor Design for Test and Debug. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test Comput. ![In: IEEE Des. Test Comput. 15(3), pp. 77-82, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
23 | Sivarama P. Dandamudi |
Introduction to assembly language programming - from 8086 to Pentium processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
1998 |
RDF |
|
23 | Camille Goudeseune, Michael Hamman |
A Real-Time Audio Scheduler for Pentium PCs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICMC ![In: Proceedings of the 1998 International Computer Music Conference, ICMC 1998, Ann Arbor, Michigan, USA, October 1-6, 1998, 1998, Michigan Publishing. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP BibTeX RDF |
|
23 | Yoshio Tanaka, Motohiko Matsuda, Makoto Ando, Kazuto Kubota, Mitsuhisa Sato |
COMPaS: A Pentium Pro PC-based SMP Cluster and Its Experience. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPPS/SPDP Workshops ![In: Parallel and Distributed Processing, 10 IPPS/SPDP'98 Workshops Held in Conjunction with the 12th International Parallel Processing Symposium and 9th Symposium on Parallel and Distributed Processing, Orlando, Florida, USA, March 30 - April 3, 1998, Proceedings, pp. 486-497, 1998, Springer, 3-540-64359-1. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
23 | Alan Edelman |
The Mathematics of the Pentium Division Bug. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIAM Rev. ![In: SIAM Rev. 39(1), pp. 54-67, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
23 | Tom Shanley |
Pentium Pro processor system architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
1997 |
RDF |
|
23 | Mooly Eden, Michael Kagan |
The Pentium® processor with MMX™ technology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COMPCON ![In: Proceedings IEEE COMPCON 97, San Jose, California, USA, February 23-26, 1997, Digest of Papers, pp. 260-262, 1997, IEEE Computer Society, 0-8186-7804-6. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
23 | Michael A. Greene |
Pentium® processor with MMX™ technology performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COMPCON ![In: Proceedings IEEE COMPCON 97, San Jose, California, USA, February 23-26, 1997, Digest of Papers, pp. 263-267, 1997, IEEE Computer Society, 0-8186-7804-6. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
23 | Jayaram K. Udupa, Jie Tian, David C. Hemmy |
Pentium PC-based craniofacial 3D imaging and analysis system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Medical Imaging: Image Processing ![In: Medical Imaging 1997: Image Display, Newport Beach, CA, United States, 22-28 February 1997, 1997, SPIE, 9780819424426. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
23 | Sachin Shirhatti, Mark Smotherman |
A technique for obtaining kernel mode address traces on a pentium-based Linux system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Southeast Regional Conference ![In: Proceedings of the 35th Annual Southeast Regional Conference, Murfreesboro, Tennessee, USA, April 2-4, 1997, pp. 57-59, 1997, ACM, 0-89791-925-4. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
23 | Adrian Carbine, Derek Feltham |
Pentium® Pro Processor Design for Test and Debug. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: Proceedings IEEE International Test Conference 1997, Washington, DC, USA, November 3-5, 1997, pp. 294-303, 1997, IEEE Computer Society, 0-7803-4209-7. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
Displaying result #1 - #100 of 776 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ >>] |
|