|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 804 occurrences of 589 keywords
|
|
|
Results
Found 2403 publication records. Showing 2403 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
84 | David W. Matula, Asger Munk Nielsen |
Pipelined Packet-Forwarding Floating Point: I. Foundations and a Rounder. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Symposium on Computer Arithmetic ![In: 13th Symposium on Computer Arithmetic (ARITH-13 '97), 6-9 July 1997, Asilomar, CA, USA, pp. 140-147, 1997, IEEE Computer Society, 0-8186-7846-1. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
pipelined packet forwarding floating point, packet forwarding floating point format, rounder design, packet forwarding format, standard binary IEEE 754 floating point format, multiplication algorithms, ALU pipeline paradigm, data hazards, pipelined floating point operations, execution phases, multiplier packet forwarding pipelines, execution phase, logic levels, multiplier pipelines, forwarding pipelines, IEEE 754 binary floating point compatibility, pipeline arithmetic, data dependent operations |
77 | Jeremy Sugerman, Kayvon Fatahalian, Solomon Boulos, Kurt Akeley, Pat Hanrahan |
GRAMPS: A programming model for graphics pipelines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Graph. ![In: ACM Trans. Graph. 28(1), pp. 4:1-4:11, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
parallel programming, GPUs, stream computing, many-core architectures, Graphics pipelines |
68 | Christopher W. Milner, Jack W. Davidson |
Quick piping: a fast, high-level model for describing processor pipelines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES-SCOPES ![In: Proceedings of the 2002 Joint Conference on Languages, Compilers, and Tools for Embedded Systems & Software and Compilers for Embedded Systems (LCTES'02-SCOPES'02), Berlin, Germany, 19-21 June 2002, pp. 175-184, 2002, ACM, 1-58113-527-0. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
embedded systems, pipelines, modeling of computer architecture |
67 | Hans M. Jacobson, Prabhakar Kudva, Pradip Bose, Peter W. Cook, Stanley Schuster |
Synchronous Interlocked Pipelines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASYNC ![In: 8th International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2002), 9-11 April 2002, Manchester, UK, pp. 3-12, 2002, IEEE Computer Society, 0-7695-1540-1. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
progressive stalls, synchronous, Pipeline, asynchronous, clock gating, elastic, interlocked |
59 | Montek Singh, Steven M. Nowick |
High-Throughput Asynchronous Pipelines for Fine-Grain Dynamic Datapaths. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASYNC ![In: 6th International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2000), 2-6 April 2000, Eilat, Israel, pp. 198-, 2000, IEEE Computer Society, 0-7695-0586-4. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
fine-grain pipelining, VLSI, pipelines, asynchronous, dynamic logic, FIFO, high-throughput, digital design |
59 | Ronald D. Williams, Brian D. Kuebert |
Reconfigurable Pipelines in VLIW Execution Units. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FCCM ![In: 7th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '99), 21-23 April 1999, Napa, CA, USA, pp. 298-299, 1999, IEEE Computer Society, 0-7695-0375-6. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
very long instruction word, pipelines, reconfigurable computing |
58 | Weirong Jiang, Viktor K. Prasanna |
Parallel IP lookup using multiple SRAM-based pipelines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 22nd IEEE International Symposium on Parallel and Distributed Processing, IPDPS 2008, Miami, Florida USA, April 14-18, 2008, pp. 1-14, 2008, IEEE. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
58 | Gennette Gill, Ankur Agiwal, Montek Singh, Feng Shi 0010, Yiorgos Makris |
Low-Overhead Testing of Delay Faults in High-Speed Asynchronous Pipelines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASYNC ![In: 12th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC 2006), 13-15 March 2006, Grenoble, France, pp. 46-56, 2006, IEEE Computer Society, 0-7695-2498-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
58 | Eric Sprangle, Doug Carmean |
Increasing Processor Performance by Implementing Deeper Pipelines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 29th International Symposium on Computer Architecture (ISCA 2002), 25-29 May 2002, Anchorage, AK, USA, pp. 25-34, 2002, IEEE Computer Society, 0-7695-1605-X. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Pipeline depth, Pipeline |
50 | Tevfik Kosar, George Kola, Miron Livny |
Data pipelines: enabling large scale multi-protocol data transfers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Middleware for Grid Computing ![In: Proceedings of the 2nd Workshop on Middleware for Grid Computing, Toronto, Ontario, Canada, October 18-22, 2004, pp. 63-68, 2004, ACM, 1-58113-950-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
data pipelines, fault-tolerance, distributed systems, grid, replication, mass storage systems, bulk data transfers |
49 | Montek Singh, Steven M. Nowick |
The Design of High-Performance Dynamic Asynchronous Pipelines: Lookahead Style. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 15(11), pp. 1256-1269, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
49 | Feng Shi 0010, Yiorgos Makris |
A Transistor-Level Test Strategy for C^2MOS MOUSETRAP Asynchronous Pipelines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASYNC ![In: 12th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC 2006), 13-15 March 2006, Grenoble, France, pp. 57-67, 2006, IEEE Computer Society, 0-7695-2498-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
49 | Rajit Manohar, Clinton Kelly IV, John Teifel, David Fang, David Biermann |
Energy-Efficient Pipelines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASYNC ![In: 8th International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2002), 9-11 April 2002, Manchester, UK, pp. 23-33, 2002, IEEE Computer Society, 0-7695-1540-1. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
energy-time metrics, pipeline dynamics, low-power design |
49 | Jakob Engblom, Bengt Jonsson 0001 |
Processor Pipelines and Their Properties for Static WCET Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EMSOFT ![In: Embedded Software, Second International Conference, EMSOFT 2002, Grenoble, France, October 7-9, 2002, Proceedings, pp. 334-348, 2002, Springer, 3-540-44307-X. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
46 | Jeffrey S. Simonoff, Carlos E. Restrepo, Rae Zimmerman, Zvia Naphtali |
Analysis of Electrical Power and Oil and Gas Pipeline Failures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Critical Infrastructure Protection ![In: Critical Infrastructure Protection, Post-Proceedings of the First Annual IFIP Working Group 11.10 International Conference on Critical Infrastructure Protection, Dartmouth College, Hanover, New Hampshire, USA, March 19-21, 2007, pp. 381-394, 2007, Springer, 978-0-387-75461-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Electrical power, oil and gas pipelines, count regression models, risk |
45 | Weirong Jiang, Qingbo Wang, Viktor K. Prasanna |
Beyond TCAMs: An SRAM-Based Parallel Multi-Pipeline Architecture for Terabit IP Lookup. ![Search on Bibsonomy](Pics/bibsonomy.png) |
INFOCOM ![In: INFOCOM 2008. 27th IEEE International Conference on Computer Communications, Joint Conference of the IEEE Computer and Communications Societies, 13-18 April 2008, Phoenix, AZ, USA, pp. 1786-1794, 2008, IEEE, 978-1-4244-2026-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
45 | Hashem Hashemi Najaf-abadi |
A procedure for obtaining a behavioral description for the control logic of a non-linear pipeline. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, Yokohama, Japan, January 27-30, 2004, pp. 86-91, 2004, IEEE Computer Society, 0-7803-8175-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
45 | Yau Chin, John Sheu, David M. Brooks |
Evaluating Techniques for Exploiting Instruction Slack. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 22nd IEEE International Conference on Computer Design: VLSI in Computers & Processors (ICCD 2004), 11-13 October 2004, San Jose, CA, USA, Proceedings, pp. 375-378, 2004, IEEE Computer Society, 0-7695-2231-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
45 | Pierfrancesco Bellini, M. Buonopane, Paolo Nesi |
Assessment of a Flexible Architecture for Distributed Control*. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Program. Comput. Softw. ![In: Program. Comput. Softw. 29(3), pp. 147-160, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
45 | Erik R. Altman, Ramaswamy Govindarajan, Guang R. Gao |
Scheduling and Mapping: Software Pipelining in the Presence of Structural Hazards. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the ACM SIGPLAN'95 Conference on Programming Language Design and Implementation (PLDI), La Jolla, California, USA, June 18-21, 1995, pp. 139-150, 1995, ACM, 0-89791-697-2. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
41 | Martin Fleury, Andy C. Downton, Adrian F. Clark |
Performance Metrics for Embedded Parallel Pipelines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 11(11), pp. 1164-1185, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
real-time systems, Performance prediction, order statistics, parallel pipelines |
41 | Bruce R. Childers, Jack W. Davidson |
Architectural Considerations for Application-Specific Counterflow Pipelines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ARVLSI ![In: 18th Conference on Advanced Research in VLSI (ARVLSI '99), 21-24 March 1999, Atlanta, GA, USA, pp. 3-22, 1999, IEEE Computer Society, 0-7695-0056-0. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
Application-specific integrated processors, counterflow pipelines, architectural synthesis |
41 | David A. Kearney, Neil W. Bergmann |
Performance evaluation of asynchronous logic pipelines with data dependent processing delays. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASYNC ![In: Second Working Conference on Asynchronous Design Methodologies, May 30-31, 1995, London, England, UK, pp. 4-13, 1995, IEEE Computer Society, 0-8186-7098-3. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
asynchronous logic pipelines, data dependent processing delays, logic stages, data dependent delay, two valued random variable, performance evaluation, performance evaluation, asynchronous circuits, pipeline processing, latches |
40 | Craig Chambers, Ashish Raniwala, Frances Perry, Stephen Adams 0001, Robert R. Henry 0001, Robert Bradshaw, Nathan Weizenbaum |
FlumeJava: easy, efficient data-parallel pipelines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the 2010 ACM SIGPLAN Conference on Programming Language Design and Implementation, PLDI 2010, Toronto, Ontario, Canada, June 5-10, 2010, pp. 363-375, 2010, ACM, 978-1-4503-0019-3. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
java, mapreduce, data-parallel programming |
40 | Tsung-Te Lai, Tiffany Yu-Han Chen, Hao-Hua Chu, Polly Huang |
PipeProbe: mapping hidden water pipelines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SenSys ![In: Proceedings of the 7th International Conference on Embedded Networked Sensor Systems, SenSys 2009, Berkeley, California, USA, November 4-6, 2009, pp. 375-376, 2009, ACM, 978-1-60558-519-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
water pipe, accelerometer, pressure sensor |
40 | David Koop, Carlos Eduardo Scheidegger, Steven P. Callahan, Juliana Freire, Cláudio T. Silva |
VisComplete: Automating Suggestions for Visualization Pipelines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Vis. Comput. Graph. ![In: IEEE Trans. Vis. Comput. Graph. 14(6), pp. 1691-1698, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
40 | Se-gon Roh, Do Wan Kim, Jung-Sub Lee, Hyungpil Moon, Hyouk Ryeol Choi |
Modularized in-pipe robot capable of selective navigation Inside of pipelines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IROS ![In: 2008 IEEE/RSJ International Conference on Intelligent Robots and Systems, September 22-26, 2008, Acropolis Convention Center, Nice, France, pp. 1724-1729, 2008, IEEE, 978-1-4244-2057-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
40 | Montek Singh, Steven M. Nowick |
The Design of High-Performance Dynamic Asynchronous Pipelines: High-Capacity Style. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 15(11), pp. 1270-1283, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
40 | Gerhard Lienhart, Andreas Kugel, Reinhard Männer |
Rapid development of high performance floating-point pipelines for scientific simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), Proceedings, 25-29 April 2006, Rhodes Island, Greece, 2006, IEEE. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
40 | Y. Du, Sisi Zlatanova |
An Approach for 3D Visualization of Pipelines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
3D-GIS ![In: Innovations in 3D Geo Information Systems, First International Workshop on 3D Geoinformation, 7-8 August, 2006, Kuala Lumpur, Malaysia, pp. 501-517, 2006, Springer, 978-3-540-36997-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
40 | Sunan Tugsinavisut, Youpyo Hong, Daewook Kim, Kyeounsoo Kim, Peter A. Beerel |
Efficient asynchronous bundled-data pipelines for DCT matrix-vector multiplication. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 13(4), pp. 448-461, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
40 | Rong Hu, Hao Ye, Guizeng Wang, Chen Lu |
Leak detection in pipelines based on PCA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICARCV ![In: 8th International Conference on Control, Automation, Robotics and Vision, ICARCV 2004, Kunming, China, 6-9 December 2004, Proceedings, pp. 1985-1989, 2004, IEEE, 0-7803-8653-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
40 | Heather Quinn, Laurie A. Smith King, Miriam Leeser, Waleed Meleis |
Runtime Assignment of Reconfigurable Hardware Components for Image Processing Pipelines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FCCM ![In: 11th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2003), 8-11 April 2003, Napa, CA, USA, Proceedings, pp. 173-, 2003, IEEE Computer Society, 0-7695-1979-2. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
40 | Rahul Sukthankar, Antoine Brusseau, Ray Pelletier, Robert G. Stockton |
JGram: Rapid Development of Multi-Agent Pipelines for Real-World Tasks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASA/MA ![In: 1st International Symposium on Agent Systems and Applications / 3rd International Symposium on Mobile Agents (ASA/MA '99), 3-6 October 1999, Palm Springs, CA, USA, pp. 30-41, 1999, IEEE Computer Society, 0-7695-0340-3. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
37 | Markus Weinhardt, Wayne Luk |
Pipeline Vectorization for Reconfigurable Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FCCM ![In: 7th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '99), 21-23 April 1999, Napa, CA, USA, pp. 52-62, 1999, IEEE Computer Society, 0-7695-0375-6. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
hardware pipelines, FPGAs, reconfigurable computing, vectorization, loop transformations |
37 | James O. Bondi, Ashwini K. Nanda, Simonjit Dutta |
Integrating a Misprediction Recovery Cache (MRC) into a Superscalar Pipeline. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 29th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 29, Paris, France, December 2-4, 1996, pp. 14-23, 1996, ACM/IEEE Computer Society, 0-8186-7641-8. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
branch target buffer technology, deep pipelines, misprediction recovery cache integration, performance loss, residual misprediction penalty, superscalar pipeline, microprocessor chips, microprocessor designs, CISC, multiple instructions |
36 | David E. Shaw |
Architectures and algorithms for millisecond-scale molecular dynamics simulations of proteins. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 41st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-41 2008), November 8-12, 2008, Lake Como, Italy, 2008, IEEE Computer Society, 978-1-4244-2836-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
36 | Shengyuan Jiang, Xudong Jiang, Jie Lu, Jianyong Li, Xiangyan Lv |
Research on a Tri-axial Differential-Drive In-Pipe Robot. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIRA (1) ![In: Intelligent Robotics and Applications, First International Conference, ICIRA 2008, Wuhan, China, October 15-17, 2008 Proceedings, Part I, pp. 1031-1040, 2008, Springer, 978-3-540-88512-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
in-pipe robot, elbow, differential drive, tri-axial differential mechanism |
36 | Rashad S. Oreifej, Abdelhalim Alsharqawi, Abdel Ejnioui |
Synthesis of Pipelined SRSL Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVLSI ![In: 2006 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2006), 2-3 March 2006, Karlsruhe, Germany, pp. 71-76, 2006, IEEE Computer Society, 0-7695-2533-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
36 | Pierfrancesco Bellini, M. Buonopane, M. Montanelli, Paolo Nesi |
Evaluating a Flexible Architecture for Distributed Control. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICECCS ![In: 5th International Conference on Engineering of Complex Computer Systems (ICECCS '99), October 18-22, 1999, Las Vegas, NV, USA, pp. 66-76, 1999, IEEE Computer Society, 0-7695-0434-5. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
systems assessment, PCI, CANBUS, performance evaluation, Communication, distributed control, CNC, fieldbus, numerical control |
36 | Hai Zhao, Nicole Marie Sabine, Edwin Hsing-Mean Sha |
Improving self-timed pipeline ring performance through the addition of buffer loops. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Great Lakes Symposium on VLSI ![In: 5th Great Lakes Symposium on VLSI (GLS-VLSI '95), March 16-18, 1995, The State University of New York at Buffalo, USA, pp. 218-223, 1995, IEEE Computer Society, 0-8186-7035-5. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
buffer circuits, self-timed pipeline ring performance, buffer loops, communication scheme, communication delay reduction, data communication delay, pace handshaking overhead, initial system configuration, performance evaluation, delays, timing, logic design, asynchronous circuits, pipeline processing |
36 | Krishna V. Palem, Barbara B. Simons |
Scheduling Time-Critical Instructions on RISC Machines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Program. Lang. Syst. ![In: ACM Trans. Program. Lang. Syst. 15(4), pp. 632-658, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
RISC machine scheduling, NP-complete, latency, compiler optimization, register allocation, greedy algorithm, instruction scheduling, deadline, RISC, pipeline processor |
32 | Steven M. Nowick, Montek Singh |
High-Performance Asynchronous Pipelines: An Overview. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test Comput. ![In: IEEE Des. Test Comput. 28(5), pp. 8-22, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
elastic circuits, latch controllers, pipelines, asynchronous, dynamic logic, design and test, micropipelines |
32 | Smitha Shyam, Kypros Constantinides, Sujay Phadke, Valeria Bertacco, Todd M. Austin |
Ultra low-cost defect protection for microprocessor pipelines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: Proceedings of the 12th International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 2006, San Jose, CA, USA, October 21-25, 2006, pp. 73-82, 2006, ACM, 1-59593-451-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
defect-protection, reliability, pipelines, low-cost |
32 | Bruce R. Childers, Jack W. Davidson |
Custom Wide Counterflow Pipelines for High-Performance Embedded Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 53(2), pp. 141-158, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
Counterflow pipelines, automatic architectural synthesis, application-specific processors |
32 | Recep O. Ozdag, Peter A. Beerel |
High-Speed QDI Asynchronous Pipelines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASYNC ![In: 8th International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2002), 9-11 April 2002, Manchester, UK, pp. 13-22, 2002, IEEE Computer Society, 0-7695-1540-1. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
conditional split, conditional join, QDI, pipelines, asynchronous, dynamic logic, joins, non-linear, fine-grain, micropipelines, forks |
32 | Weiping Shi, Ming-Feng Chang, W. Kent Fuchs |
Harvest Rate of Reconfigurable Pipelines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 45(10), pp. 1200-1203, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
Harvest rate, pipelines, yield, random graphs, defect tolerance, percolation, reconfigurable arrays |
32 | Lionel M. Ni, Kai Hwang 0001 |
Vector-Reduction Techniques for Arithmetic Pipelines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 34(5), pp. 404-411, 1985. The full citation details ...](Pics/full.jpeg) |
1985 |
DBLP DOI BibTeX RDF |
Arithmetic pipelines, multiple vector processing, vector reduction, matrix algebra, VLSI architecture, interleaving |
31 | Masa-Aki Fukase, Atsuko Yokoyama, Tomoaki Sato |
A ubiquitous processor embedded with progressive cipher pipelines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, Boston Area, MA, USA, May 10-12 2009, pp. 381-384, 2009, ACM, 978-1-60558-522-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
hardware cryptography, random number addressing, single chip processor, pipeline, ubiquitous |
31 | Hoon Lim, Jae Youn Choi, Young Sik Kwon, Eui-Jung Jung, Byung-Ju Yi |
SLAM in indoor pipelines with 15mm diameter. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICRA ![In: 2008 IEEE International Conference on Robotics and Automation, ICRA 2008, May 19-23, 2008, Pasadena, California, USA, pp. 4005-4011, 2008, IEEE. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
31 | Qishi Wu, Yi Gu, Mengxia Zhu, Nageswara S. V. Rao |
Optimizing network performance of computing pipelines in distributed environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 22nd IEEE International Symposium on Parallel and Distributed Processing, IPDPS 2008, Miami, Florida USA, April 14-18, 2008, pp. 1-8, 2008, IEEE. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
31 | Weirong Jiang, Viktor K. Prasanna |
Multi-terabit ip lookup using parallel bidirectional pipelines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conf. Computing Frontiers ![In: Proceedings of the 5th Conference on Computing Frontiers, 2008, Ischia, Italy, May 5-7, 2008, pp. 241-250, 2008, ACM, 978-1-60558-077-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
ip lookup, terabit, pipeline, sram, bidirectional |
31 | Zhigang Yang, Wen Gao 0001, Yan Liu 0014, Debin Zhao |
Software Pipelines Design for Variable Block-Size Motion Estimation with Large Search Range. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIP (5) ![In: Proceedings of the International Conference on Image Processing, ICIP 2007, September 16-19, 2007, San Antonio, Texas, USA, pp. 189-192, 2007, IEEE, 978-1-4244-1436-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
31 | Pekka Jääskeläinen, Vladimír Guzma, Jarmo Takala |
Resource Conflict Detection in Simulation of Function Unit Pipelines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAMOS ![In: Embedded Computer Systems: Architectures, Modeling, and Simulation, 7th International Workshop, SAMOS 2007, Samos, Greece, July 16-19, 2007, Proceedings, pp. 233-240, 2007, Springer, 978-3-540-73622-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
31 | Andrew Havlir, David Z. Pan |
Simultaneous Statistical Delay and Slew Optimization for Interconnect Pipelines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 7th International Symposium on Quality of Electronic Design (ISQED 2006), 27-29 March 2006, San Jose, CA, USA, pp. 171-178, 2006, IEEE Computer Society, 0-7695-2523-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
31 | Jeni Tennison |
Processing XML documents with pipelines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Symposium on Document Engineering ![In: Proceedings of the 2006 ACM Symposium on Document Engineering, Amsterdam, The Netherlands, October 10-13, 2006, pp. 91, 2006, ACM, 1-59593-515-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
XML, pipeline, transformation, processing |
31 | Valentin Gies, Thierry M. Bernard, Alain Mérigot |
Convergent micro-pipelines: a versatile operator for mixed asynchronous-synchronous computations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (5) ![In: International Symposium on Circuits and Systems (ISCAS 2005), 23-26 May 2005, Kobe, Japan, pp. 5242-5245, 2005, IEEE, 0-7803-8834-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
31 | Lior Shamir, Robert J. Nemiroff |
Using Fuzzy Logic for Automatic Analysis of Astronomical Pipelines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FSKD (2) ![In: Fuzzy Systems and Knowledge Discovery, Second International Conference, FSKD 2005, Changsha, China, August 27-29, 2005, Proceedings, Part II, pp. 634-637, 2005, Springer, 3-540-28331-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
31 | Peggy B. McGee, Steven M. Nowick |
A lattice-based framework for the classification and design of asynchronous pipelines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 42nd Design Automation Conference, DAC 2005, San Diego, CA, USA, June 13-17, 2005, pp. 491-496, 2005, ACM, 1-59593-058-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
protocols, framework, pipeline, asynchronous, digital design |
31 | Mark A. Franklin, Vinayak Joshi |
SimplePipe: A Simulation Tool for Task Allocation and Design of Processor Pipelines with Application to Network Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MASCOTS ![In: 12th International Workshop on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS 2004), 4-8 October 2004, Vollendam, The Netherlands, pp. 59-66, 2004, IEEE Computer Society, 0-7695-2251-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
31 | Christian Jacobi 0002 |
Formal Verification of Complex Out-of-Order Pipelines by Combining Model-Checking and Theorem-Proving. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CAV ![In: Computer Aided Verification, 14th International Conference, CAV 2002,Copenhagen, Denmark, July 27-31, 2002, Proceedings, pp. 309-323, 2002, Springer, 3-540-43997-8. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
31 | Recep O. Ozdag, Peter A. Beerel, Montek Singh, Steven M. Nowick |
High-Speed Non-Linear Asynchronous Pipelines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2002 Design, Automation and Test in Europe Conference and Exposition (DATE 2002), 4-8 March 2002, Paris, France, pp. 1000-1007, 2002, IEEE Computer Society, 0-7695-1471-5. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
31 | Oliver Hauck, A. Katoch, Sorin A. Huss |
VLSI System Design Using Asynchronous Wave Pipelines: A 0.35?m CMOS 1.5 GHz Elliptic Curve Public Key Cryptosystem Chip. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASYNC ![In: 6th International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2000), 2-6 April 2000, Eilat, Israel, pp. 188-, 2000, IEEE Computer Society, 0-7695-0586-4. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
31 | Gang Qu 0001, Darko Kirovski, Miodrag Potkonjak, Mani B. Srivastava |
Energy minimization of system pipelines using multiple voltages. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (1) ![In: Proceedings of the 1999 International Symposium on Circuits and Systems, ISCAS 1999, Orlando, Florida, USA, May 30 - June 2, 1999, pp. 362-365, 1999, IEEE, 0-7803-5471-0. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
31 | Sam Siewert, Marty Humphrey |
A Real-Time Execution Performance Agent Interface to Parametrically Controlled In-Kernel Pipelines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Real Time Technology and Applications Symposium ![In: 3rd IEEE Real-Time Technology and Applications Symposium, RTAS '97, Montreal, Canada, June 9-11, 1997, pp. 172-177, 1997, IEEE Computer Society, 0-8186-8016-4. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
31 | Jack S. Walicki, John D. Laughlin |
Operation scheduling in reconfigurable, multifunction pipelines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 20st Annual Workshop and Symposium on Microprogramming and Microarchitecture, 1987, Colorado Springs, Colorado, USA, December 1-4, 1987, pp. 80-87, 1987, ACM/IEEE, 0-89791-250-0. The full citation details ...](Pics/full.jpeg) |
1987 |
DBLP DOI BibTeX RDF |
|
28 | Eriko Nurvitadhi, James C. Hoe, Shih-Lien Lu, Timothy Kam |
Automatic multithreaded pipeline synthesis from transactional datapath specifications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 47th Design Automation Conference, DAC 2010, Anaheim, California, USA, July 13-18, 2010, pp. 314-319, 2010, ACM, 978-1-4503-0002-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
automatic pipelining, datapath specification, design exploration of x86 processor pipelines, multithreading, hardware synthesis |
28 | Ian Gorton, Adam Wynne, Justin Almquist, Jack Chatterton |
The MeDICi Integration Framework: A Platform for High Performance Data Streaming Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WICSA ![In: Seventh Working IEEE / IFIP Conference on Software Architecture (WICSA 2008), 18-22 February 2008, Vancouver, BC, Canada, pp. 95-104, 2008, IEEE Computer Society, 978-0-7695-3092-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
integration, pipelines, component model |
28 | Xiuli Sun, Jinzhao Wu, Xiaoyu Song, Mila E. Majster-Cederbaum |
Formal Specification of an Asynchronous Processor via Action Refinement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MTV ![In: Fifth International Workshop on Microprocessor Test and Verification (MTV 2004), Common Challenges and Solutions, 08-10 September 2004, Austin, Texas, USA, pp. 36-41, 2004, IEEE Computer Society, 0-7695-2320-X. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
pipelines, microprocessors, asynchronous circuits, Action refinement |
28 | Haitham Akkary, Srikanth T. Srinivasan, Konrad Lai |
Recycling waste: exploiting wrong-path execution to improve branch prediction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 17th Annual International Conference on Supercomputing, ICS 2003, San Francisco, CA, USA, June 23-26, 2003, pp. 12-21, 2003, ACM, 1-58113-733-8. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
deep pipelines, branch prediction, instruction reuse |
28 | Naveen Kumar Polapally, Raghu Machiraju, Dhabaleswar K. Panda 0001 |
Feature estimation for efficient streaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VolVis ![In: IEEE/SIGGRAPH Symposium on Volume Visualization and Graphics, VolVis 2002, Boston, MA, USA, October 28-29, 2002, pp. 107-114, 2002, IEEE Computer Society, 0-78037-641-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
footprint estimation, visualization pipelines, data streaming, parallel implementations, multiresolution methods |
28 | Asger Munk Nielsen, David W. Matula, Chung Nan Lyu, Guy Even |
Pipelined Packet-Forwarding Floating Point: II. An Adder. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Symposium on Computer Arithmetic ![In: 13th Symposium on Computer Arithmetic (ARITH-13 '97), 6-9 July 1997, Asilomar, CA, USA, pp. 148-155, 1997, IEEE Computer Society, 0-8186-7846-1. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
pipelined packet forwarding floating point, floating point addition algorithm, adder pipeline design, packet forwarding pipeline paradigm, data hazards, deeply pipelined floating point pipelines, four stage execution phase pipeline, short clock period, fifteen logic levels, addition algorithm, standard binary floating point format, standard IEEE 754 rounded result, two cycle latency, cooperating multiplier pipeline, packet forwarding operand, IEEE 754 binary floating point compatibility, pipeline arithmetic |
28 | Georg Färber, Franz Fischer, Thomas Kolloch, Annette Muth |
Improving processor utilization with a task classification model based application specific hard real-time architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTCSA ![In: 4th International Workshop on Real-Time Computing Systems and Applications (RTCSA '97), 27-29 October 1997, Taipei, Taiwan, pp. 276-, 1997, IEEE Computer Society, 0-8186-8073-3. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
task classification model, application specific hard real-time architecture, real-time architecture, target architecture framework, tightly coupled heterogeneous multiprocessor system, rapid prototyping platform, caches, pipelines, microprocessors, templates, schedulability analysis, execution times, software prototyping, hard real time systems, processor utilization |
28 | Carl Ebeling, Darren C. Cronquist, Paul Franklin |
Configurable computing: the catalyst for high-performance architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASAP ![In: 1997 International Conference on Application-Specific Systems, Architectures, and Processors (ASAP '97), 14-16 July 1997, Zurich, Switzerland, pp. 364-373, 1997, IEEE Computer Society, 0-8186-7958-1. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
high-performance architectures, cost-performance, application-specific computation pipelines, static configuration, FPGAs, computational complexity, computer architectures, configurable computing, dynamic control, RaPiD, application-specific hardware |
28 | Harsha Sathyamurthy, Sachin S. Sapatnekar, John P. Fishburn |
Speeding up pipelined circuits through a combination of gate sizing and clock skew optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 1995 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1995, San Jose, California, USA, November 5-9, 1995, pp. 467-470, 1995, IEEE Computer Society / ACM, 0-8186-7213-7. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
acyclic pipelines, area-delay tradeoff, clock skew optimization, cycle-borrowing, logic design, combinational circuits, logic CAD, pipeline processing, circuit CAD, circuit optimisation, gate sizing, logic gates, pipelined circuits, timing specifications |
28 | Yih-Farn Chen, Glenn S. Fowler, Eleftherios Koutsofios, Ryan S. Wallach |
Ciao: a graphical navigator for software and document repositories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSM ![In: Proceedings of the International Conference on Software Maintenance, ICSM 1995, Opio (Nice), France, October 17-20, 1995, pp. 66-75, 1995, IEEE Computer Society, 0-8186-7141-6. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
Ciao, graphical navigator, document repositories, maintenance task, virtual database pipelines, structural connections, source document collection, Aero architecture style, entity-relationship databases, directed attributed graphs, graph analysis operators, presentation filters, graph views, source views, information retrieval, database, reverse engineering, query processing, software maintenance, browsing, directed graphs, data visualisation, programmers, entity-relationship modelling, software repositories, database queries, software documents, relational views |
28 | Michael Golden, Trevor N. Mudge |
A comparison of two pipeline organizations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 27th Annual International Symposium on Microarchitecture, San Jose, California, USA, November 30 - December 2, 1994, pp. 153-161, 1994, ACM / IEEE Computer Society, 0-89791-707-3. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
pipelines, cache memory, RISC, memory system, interlocks |
27 | Dongsoo Kang, Chen Liu 0001, Jean-Luc Gaudiot |
The Impact of Speculative Execution on SMT Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Parallel Program. ![In: Int. J. Parallel Program. 36(4), pp. 361-385, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Speculation control, Simultaneous multithreading, Thread scheduling, Confidence estimator |
27 | Rama Sangireddy, Jatan P. Shah |
Operand-Load-Based Split Pipeline Architecture for High Clock Rate and Commensurable IPC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 19(4), pp. 529-544, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
27 | Jason C. Chen, Shao-Yi Chien |
CRISP: Coarse-Grained Reconfigurable Image Stream Processor for Digital Still Cameras and Camcorders. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Circuits Syst. Video Technol. ![In: IEEE Trans. Circuits Syst. Video Technol. 18(9), pp. 1223-1236, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
27 | Johannes Jendrsczok, Patrick Ediger, Rolf Hoffmann |
A scalable configurable architecture for the massively parallel GCA model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 22nd IEEE International Symposium on Parallel and Distributed Processing, IPDPS 2008, Miami, Florida USA, April 14-18, 2008, pp. 1-8, 2008, IEEE. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
27 | Tom Gross, Nicolai Marquardt |
CollaborationBus: An Editor for the Easy Configuration of Ubiquitous Computing Environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDP ![In: 15th Euromicro International Conference on Parallel, Distributed and Network-Based Processing (PDP 2007), 7-9 February 2007, Naples, Italy, pp. 307-314, 2007, IEEE Computer Society, 0-7695-2784-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
27 | Allan Hartstein, Thomas R. Puzak |
The optimum pipeline depth considering both power and performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 1(4), pp. 369-388, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
Pipeline Depth, Power and Performance, Workload Specificity, Simulation |
27 | Allan Hartstein, Thomas R. Puzak |
Optimum Power/Performance Pipeline Depth. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 36th Annual International Symposium on Microarchitecture, San Diego, CA, USA, December 3-5, 2003, pp. 117-128, 2003, IEEE Computer Society, 0-7695-2043-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
27 | Sunan Tugsinavisut, Suwicha Jirayucharoensak, Peter A. Beerel |
An asynchronous pipeline comparisons with application to DCT matrix-vector multiplication. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (5) ![In: Proceedings of the 2003 International Symposium on Circuits and Systems, ISCAS 2003, Bangkok, Thailand, May 25-28, 2003, pp. 361-364, 2003, IEEE, 0-7803-7761-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
27 | Dong-In Kang, Richard Gerber 0001, Manas Saksena |
Parametric Design Synthesis of Distributed Embedded Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 49(11), pp. 1155-1169, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
Design synthesis, statistical performance, distributed systems, embedded systems, soft real-time |
27 | Ramaswamy Govindarajan, Erik R. Altman, Guang R. Gao |
A Theory for Software-Hardware Co-Scheduling for ASIPs and Embedded Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASAP ![In: 12th IEEE International Conference on Application-Specific Systems, Architectures, and Processors (ASAP 2000), 10-12 July 2000, Boston, MA, USA, pp. 329-338, 2000, IEEE Computer Society, 0-7695-0716-6. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
27 | Krishna V. Palem, Barbara B. Simons |
Scheduling Time-Critical Instructions on RISC Machines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
POPL ![In: Conference Record of the Seventeenth Annual ACM Symposium on Principles of Programming Languages, San Francisco, California, USA, January 1990, pp. 270-280, 1990, ACM Press, 0-89791-343-4. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
RISC |
27 | Eric Schnepf |
The Performance of Linear Algebra Subprograms on the Siemens S Series. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CONPAR ![In: CONPAR 90 - VAPP IV, Joint International Conference on Vector and Parallel Processing, Zurich, Switzerland, September 10-13, 1990, Proceedings, pp. 559-568, 1990, Springer, 3-540-53065-7. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
27 | Desi Rhoden, Chris Wilcox |
Hardware acceleration for Window systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGGRAPH ![In: Proceedings of the 16th Annual Conference on Computer Graphics and Interactive Techniques, SIGGRAPH 1989, Boston, MA, USA, July 31 - August 4, 1989, pp. 61-67, 1989, ACM, 0-201-50434-0. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
|
25 | Davaadorj Battulga |
Contributions à la gestion des pipelines de traitement de flux dans les environnements de fog computing. (Contributions to the management of stream processing pipelines in fog computing environments). ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2023 |
RDF |
|
25 | Sumon Biswas, Mohammad Wardat, Hridesh Rajan |
The Art and Practice of Data Science Pipelines: A Comprehensive Study of Data Science Pipelines In Theory, In-The-Small, and In-The-Large. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSE ![In: 44th IEEE/ACM 44th International Conference on Software Engineering, ICSE 2022, Pittsburgh, PA, USA, May 25-27, 2022, pp. 2091-2103, 2022, ACM, 978-1-4503-9221-1. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
25 | Sumon Biswas, Mohammad Wardat, Hridesh Rajan |
The Art and Practice of Data Science Pipelines: A Comprehensive Study of Data Science Pipelines In Theory, In-The-Small, and In-The-Large. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2112.01590, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP BibTeX RDF |
|
25 | Priscilla Balestrucci, Katrin Angerbauer, Cristina Morariu, Robin Welsch, Lewis L. Chuang, Daniel Weiskopf, Marc O. Ernst, Michael Sedlmair |
Pipelines Bent, Pipelines Broken: Interdisciplinary Self-Reflection on the Impact of COVID-19 on Current and Future Research (Position Paper). ![Search on Bibsonomy](Pics/bibsonomy.png) |
BELIV ![In: IEEE Workshop on Evaluation and Beyond - Methodological Approaches to Visualization, BELIV 2020, Salt Lake City, UT, USA, October 25-30, 2020, pp. 11-18, 2020, IEEE, 978-1-7281-9642-8. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
25 | Konstantinos Mantzoukas |
Runtime monitoring of security SLAs for big data pipelines: design implementation and evaluation of a framework for monitoring security SLAs in big data pipelines with the assistance of run-time code instrumentation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2020 |
RDF |
|
21 | |
Synchronous Pipelines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Encyclopedia of Database Systems ![In: Encyclopedia of Database Systems, pp. 2898, 2009, Springer US, 978-0-387-35544-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
21 | Daniel Zinn, Shawn Bowers, Timothy M. McPhillips, Bertram Ludäscher |
X-CSR: Dataflow Optimization for Distributed XML Process Pipelines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDE ![In: Proceedings of the 25th International Conference on Data Engineering, ICDE 2009, March 29 2009 - April 2 2009, Shanghai, China, pp. 577-580, 2009, IEEE Computer Society, 978-0-7695-3545-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
21 | Eric Wohlstadter, Peng Li, Brett Cannon |
Web Service Mashup Middleware with Partitioning of XML Pipelines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICWS ![In: IEEE International Conference on Web Services, ICWS 2009, Los Angeles, CA, USA, 6-10 July 2009, pp. 91-98, 2009, IEEE Computer Society, 978-0-7695-3709-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
21 | Daniele Ravì, Tony Meccio, Giuseppe Messina, Mirko Guarnera |
JBIG for Printer Pipelines: A Compression Test. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCIW ![In: Computational Color Imaging, Second International Workshop, CCIW 2009, Saint-Etienne, France, March 26-27, 2009. Revised Selected Papers, pp. 180-187, 2009, Springer, 978-3-642-03264-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
21 | Praveen Jayachandran, Tarek F. Abdelzaher |
Delay composition in preemptive and non-preemptive real-time pipelines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Real Time Syst. ![In: Real Time Syst. 40(3), pp. 290-320, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Pipelined distributed systems, Delay composition, Schedulability, End-to-end delay |
Displaying result #1 - #100 of 2403 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ >>] |
|