The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase Reorder-Buffer (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1996-2002 (16) 2003-2004 (17) 2005-2006 (23) 2007-2009 (15) 2010-2022 (14)
Publication types (Num. hits)
article(21) inproceedings(64)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 85 occurrences of 66 keywords

Results
Found 85 publication records. Showing 85 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
105Aamer Jaleel, Bruce L. Jacob In-Line Interrupt Handling and Lock-Up Free Translation Lookaside Buffers (TLBs). Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Reorder-buffer (ROB), exception handlers, in-line interrupt, lock-up free, translation lookaside buffers (TLBs), performance modeling, precise interrupts
85Miroslav N. Velev Using Rewriting Rules and Positive Equality to Formally Verify Wide-Issue Out-of-Order Microprocessors with a Reorder Buffer. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
83Gurhan Kucuk, Dmitry Ponomarev 0001, Oguz Ergin, Kanad Ghose Reducing reorder buffer complexity through selective operand caching. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF low-complexity datapath, short-lived values, low-power design, reorder buffer
83Gurhan Kucuk, Dmitry Ponomarev 0001, Kanad Ghose Low-complexity reorder buffer architecture. Search on Bibsonomy ICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF low-complexity datapath, low-power design, reorder buffer
68Joseph J. Sharkey, Deniz Balkan, Dmitry Ponomarev 0001 Adaptive reorder buffers for SMT processors. Search on Bibsonomy PACT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF simultaneous multithreading, reorder buffer
68Wann-Yun Shieh, Hsin-Dar Chen Saving Register-File Leakage Power by Monitoring Instruction Sequence in ROB. Search on Bibsonomy EUC Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF register leakage power, high-end embedded processor, dynamic voltage scaling (DVS), reorder buffer
68Srivatsan Srinivasan, Lizy Kurian John On the Use of Pseudorandom Sequences for High Speed Resource Allocators in Superscalar Processors. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF hardware resource allocation, superscalar processor, pseudorandom sequences, reorder buffer
53Aamer Jaleel, Bruce L. Jacob Improving the Precise Interrupt Mechanism of Software-Managed TLB Miss Handlers. Search on Bibsonomy HiPC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
51Frederico Pratas, Georgi Gaydadjiev, Mladen Berekovic, Leonel Sousa, Stefanos Kaxiras Low power microarchitecture with instruction reuse. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF loop reusing technique, reorder buffer optimization, superscalar processor, power reduction
48Gurhan Kucuk, Dmitry Ponomarev 0001, Oguz Ergin, Kanad Ghose Complexity-Effective Reorder Buffer Designs for Superscalar Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
48Nirav Dave Designing a reorder buffer in Bluespec. Search on Bibsonomy MEMOCODE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
48Gurhan Kucuk, Oguz Ergin, Dmitry Ponomarev 0001, Kanad Ghose Distributed Reorder Buffer Schemes for Low Power. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
48Dmitry Ponomarev 0001, Gurhan Kucuk, Kanad Ghose Energy-Efficient Design of the Reorder Buffer. Search on Bibsonomy PATMOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
48Ravi Hosabettu, Ganesh Gopalakrishnan, Mandayam K. Srivas A Proof of Correctness of a Processor Implementing Tomasulo's Algorithm without a Reorder Buffer. Search on Bibsonomy CHARME The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
35Matthias Menge Superskalare Prozessoren. Search on Bibsonomy Inform. Spektrum The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Scoreboarding, Reservierungseinheit, Competion-Unit, Retirement-Unit, History-Buffer, Reorder-Buffer
35D. A. Gilbert, Jim D. Garside A Result Forwarding Mechanism for Asynchronous Pipelined Systems. Search on Bibsonomy ASYNC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF dependency, asynchronous, Exception, reorder buffer
34Hyuk-Jun Lee, Eui-Young Chung Scalable QoS-Aware Memory Controller for High-Bandwidth Packet Memory. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Emre Özer 0001, Sumedh W. Sathaye, Kishore N. Menezes, Sanjeev Banerjia, Matthew D. Jennings, Thomas M. Conte A Fast Interrupt Handling Scheme for VLIW Processors. Search on Bibsonomy IEEE PACT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Interrupt, VLIW, Embedded Processors, ILP, Superscalar, Out-of-order Issue
32Xin Fu, James Poe, Tao Li, José A. B. Fortes Characterizing Microarchitecture Soft Error Vulnerability Phase Behavior. Search on Bibsonomy MASCOTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Aamer Jaleel, Bruce L. Jacob Using Virtual Load/Store Queues (VLSQs) to Reduce the Negative Effects of Reordered Memory Instructions. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
32Peter G. Sassone, D. Scott Wills Dynamic Strands: Collapsing Speculative Dependence Chains for Reducing Pipeline Communication. Search on Bibsonomy MICRO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Nikil Mehta, Brian Singer, R. Iris Bahar, Michael Leuchtenburg, Richard S. Weiss Fetch Halting on Critical Load Misses. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Zhenmin Li, Yuqing Ma, Gaoming Du, Xiaolei Wang, Yukun Song, Duoli Zhang RB-OLITS: A Worst Case Reorder Buffer Size Reduction Approach for 3-D-NoC. Search on Bibsonomy IEEE Des. Test The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
32Pavlos Aimoniotis, Christos Sakalis, Magnus Själander, Stefanos Kaxiras Reorder Buffer Contention: A Forward Speculative Interference Attack for Speculation Invariant Instructions. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
32Md. Enamul Haque, S. M. Zobaed, Muhammad Usama Islam, Faaiza Mohammad Areef Relaxed Reorder Buffer Commit with Batch Context Switch. Search on Bibsonomy ICCA The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
32Zheng Xu, Jacob Abraham Resilient Reorder Buffer Design for Network-on-Chip. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
32Cunlu Li, Dezun Dong, Zhonghai Lu, Xiangke Liao RoB-Router : A Reorder Buffer Enabled Low Latency Network-on-Chip Router. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Cunlu Li, Dezun Dong, Xiangke Liao, Ji Wu 0006, Fei Lei RoB-Router: Low Latency Network-on-Chip Router Microarchitecture Using Reorder Buffer. Search on Bibsonomy Hot Interconnects The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
32Won-Jong Lee, Youngsam Shin, Seok Joong Hwang, Seok Kang, Jeong-Joon Yoo, Soojung Ryu Reorder buffer: an energy-efficient multithreading architecture for hardware MIMD ray traversal. Search on Bibsonomy High Performance Graphics The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
32Stefano Di Carlo, Marco Gaudesi, Edgar E. Sánchez, Matteo Sonza Reorda A Functional Approach for Testing the Reorder Buffer Memory. Search on Bibsonomy J. Electron. Test. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
32Gaoming Du, Miao Li, Zhonghai Lu, Minglun Gao, Chunhua Wang An analytical model for worst-case reorder buffer size of multi-path minimal routing NoCs. Search on Bibsonomy NOCS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
32Min Choi, Jong Hyuk Park, Young-Sik Jeong Revisiting reorder buffer architecture for next generation high performance computing. Search on Bibsonomy J. Supercomput. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
32Stefano Di Carlo, Ernesto Sánchez 0001, Matteo Sonza Reorda On the on-line functional test of the Reorder Buffer memory in superscalar processors. Search on Bibsonomy DDECS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
32Jose Raul Garcia Ordaz, Marco Antonio Ramírez Salinas, Luis A. Villa Vargas, Herón Molina Lozano, Cuauhtémoc Peredo Macías A Reorder Buffer Design for High Performance Processors. Search on Bibsonomy Computación y Sistemas The full citation details ... 2012 DBLP  BibTeX  RDF
32Mathieu Rosiere, Jean Lou Desbarbieux, Nathalie Drach, Franck Wajsbürt An out-of-order superscalar processor on FPGA: The ReOrder Buffer design. Search on Bibsonomy DATE The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
32Masoumeh Ebrahimi, Masoud Daneshtalab, Pasi Liljeberg, Juha Plosila, Hannu Tenhunen A High-Performance Network Interface Architecture for NoCs Using Reorder Buffer Sharing. Search on Bibsonomy PDP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF AXI protocol, Network on Chip, Buffer Management, Network Interface
32Woo-Cheol Kwon, Sungjoo Yoo, Junhyung Um, Seh-Woong Jeong In-network reorder buffer to improve overall NoC performance while resolving the in-order requirement problem. Search on Bibsonomy DATE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
32Rafael Ubal, Julio Sahuquillo, Salvador Petit, Pedro López 0001 Paired ROBs: A Cost-Effective Reorder Buffer Sharing Strategy for SMT Processors. Search on Bibsonomy Euro-Par The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
32Tuhin Subhra Chakraborty, Saswat Chakrabarti On output reorder buffer design of bit reversed pipelined continuous data FFT architecture. Search on Bibsonomy APCCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
32Nischal M. Piratla, Anura P. Jayasumana, Abhijit A. Bare, Tarun Banka Reorder buffer-occupancy density and its application for measurement and evaluation of packet reordering. Search on Bibsonomy Comput. Commun. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32Eun-Gu Jung, Dong-Soo Har Asynchronous Reorder Buffer for Asynchronous On-Chip Bus. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
32Pierguido V. C. Caironi, Lorenzo Mezzalira, Mariagiovanna Sami Context Reorder Buffer: An Architectural Support for Real-Time Processing on RISC Architectures. Search on Bibsonomy RTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Weirong Jiang, Viktor K. Prasanna Parallel IP lookup using multiple SRAM-based pipelines. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Sumeet Kumar, Aneesh Aggarwal Reducing resource redundancy for concurrent error detection techniques in high performance microprocessors. Search on Bibsonomy HPCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Ravi Hosabettu, Ganesh Gopalakrishnan, Mandayam K. Srivas Formal Verification of a Complex Pipelined Processor. Search on Bibsonomy Formal Methods Syst. Des. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF completion functions, formal verification, PVS, processor verification
21Rajeev Balasubramonian, Sandhya Dwarkadas, David H. Albonesi Dynamically allocating processor resources between nearby and distant ILP. Search on Bibsonomy ISCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Amit Golander, Shlomo Weiss Checkpoint allocation and release. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF early register release, misprediction, Checkpoint, leakage, out-of-order execution, rollback
16Garo Bournoutian, Alex Orailoglu Reducing impact of cache miss stalls in embedded systems by extracting guaranteed independent instructions. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF compiler assisted hardware, pipeline stalls, embedded processors, data cache
16Chen Liu 0001, Jean-Luc Gaudiot The Impact of Resource Sharing Control on the Design of Multicore Processors. Search on Bibsonomy ICA3PP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Xin Fu, Tao Li, José A. B. Fortes Combined circuit and microarchitecture techniques for effective soft error robustness in SMT processors. Search on Bibsonomy DSN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Jason Loew, Dmitry Ponomarev 0001 Two-Level Reorder Buffers: Accelerating Memory-Bound Applications on SMT Architectures. Search on Bibsonomy ICPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Nobuyuki Enomoto, Hideyuki Shimonishi, Junichi Higuchi, Takashi Yoshikawa, Atsushi Iwata High-Speed, Short-Latency Multipath Ethernet Transport for Interconnections. Search on Bibsonomy Hot Interconnects The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Interconnection, Ethernet, Multipath, PCI-Express
16Je-Hoon Lee, Seung-Sook Lee, Kyoung-Rok Cho Asynchronous ARM Processor Employing an Adaptive Pipeline Architecture. Search on Bibsonomy ARC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF adaptive pipeline, processor, Asynchronous design
16Peter G. Sassone, Jeff Rupley, Edward Brekelbaum, Gabriel H. Loh, Bryan Black Matrix scheduler reloaded. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF picker, scheduler, microarchitecture, matrix, wakeup
16Dmitry V. Ponomarev, Gurhan Kucuk, Kanad Ghose Dynamic Resizing of Superscalar Datapath Components for Energy Efficiency. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF energy-efficient datapath, Superscalar processor, power reduction, dynamic instruction scheduling
16Shiwen Hu, Madhavi Gopal Valluri, Lizy Kurian John Effective management of multiple configurable units using dynamic optimization. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Adaptive computing environment (ACE), dynamic optimization, power dissipation, hotspots
16Chengmo Yang, Alex Orailoglu Power-efficient instruction delivery through trace reuse. Search on Bibsonomy PACT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF adaptive processor, low-power design, instruction delivery
16Joshua J. Yi, Hans Vandierendonck, Lieven Eeckhout, David J. Lilja The exigency of benchmark and compiler drift: designing tomorrow's processors with yesterday's tools. Search on Bibsonomy ICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF benchmark drift, compiler drift, microprocessor design
16Tanausú Ramírez, Alex Pajuelo, Oliverio J. Santana, Mateo Valero Kilo-instruction processors, runahead and prefetching. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF runahead, prefetching, speculative execution, memory wall, Kilo-instruction processors
16Jaidev P. Patwardhan, Vijeta Johri, Chris Dwyer, Alvin R. Lebeck A defect tolerant self-organizing nanoscale SIMD architecture. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF self-organizing, SIMD, data parallel, DNA, defect tolerance, nanocomputing, bit-serial
16Vladimir Stojanovic, R. Iris Bahar, Jennifer Dworak, Richard Weiss 0001 A cost-effective implementation of an ECC-protected instruction queue for out-of-order microprocessors. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF instruction queue, reliability, error correcting codes
16Alex Pajuelo, Antonio González 0001, Mateo Valero Speculative execution for hiding memory latency. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Joshua J. Yi, David J. Lilja, Douglas M. Hawkins Improving Computer Architecture Simulation Methodology by Adding Statistical Rigor. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF simulation output analysis, measurement techniques, Performance analysis and design aids
16Fred A. Bower, Sule Ozev, Daniel J. Sorin Autonomic Microprocessor Execution via Self-Repairing Arrays. Search on Bibsonomy IEEE Trans. Dependable Secur. Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Logic design reliability and testing, microprocessors and microcomputers
16Shadi T. Khasawneh, Kanad Ghose An Adaptive Technique for Reducing Leakage and Dynamic Power in Register Files and Reorder Buffers. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Tingting Sha, Milo M. K. Martin, Amir Roth Scalable Store-Load Forwarding via Store Queue Index Prediction. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Nevin Kirman, Meyrem Kirman, Mainak Chaudhuri, José F. Martínez Checkpointed Early Load Retirement. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Ryuichi Takahashi, Hajime Ohiwa Legitimate Peripheral Participation on FPGA for Fine-Grain Microprocessor Design Education. Search on Bibsonomy MSE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Chen Liu 0001, Jean-Luc Gaudiot Static Partitioning vs Dynamic Sharing of Resources in Simultaneous MultiThreading Microarchitectures. Search on Bibsonomy APPT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Peng Zhou, Soner Önder, Steve Carr 0001 Fast branch misprediction recovery in out-of-order superscalar processors. Search on Bibsonomy ICS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF processor state, checkpoint, recovery, branch misprediction
16Dmitry V. Ponomarev, Gurhan Kucuk, Oguz Ergin, Kanad Ghose Isolating Short-Lived Operands for Energy Reduction. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Adrián Cristal, Oliverio J. Santana, Mateo Valero, José F. Martínez Toward kilo-instruction processors. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF multicheckpointing, instruction-level parallelism, Memory wall, kilo-instruction processors
16Fred A. Bower, Paul G. Shealy, Sule Ozev, Daniel J. Sorin Tolerating Hard Faults in Microprocessor Array Structures. Search on Bibsonomy DSN The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Jared C. Smolens, Jangwoo Kim, James C. Hoe, Babak Falsafi Efficient Resource Sharing in Concurrent Error Detecting Superscalar Microarchitectures. Search on Bibsonomy MICRO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Adrián Cristal, Daniel Ortega, Josep Llosa, Mateo Valero Out-of-Order Commit Processors. Search on Bibsonomy HPCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Enric Morancho, José María Llabería, Àngel Olivé A Mechanism for Verifying Data Speculation. Search on Bibsonomy Euro-Par The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Yuan Chou, Brian Fahs, Santosh G. Abraham Microarchitecture Optimizations for Exploiting Memory-Level Parallelism. Search on Bibsonomy ISCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Steven E. Raasch, Steven K. Reinhardt The Impact of Resource Partitioning on SMT Processors. Search on Bibsonomy IEEE PACT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Dmitry Ponomarev 0001, Gurhan Kucuk, Oguz Ergin, Kanad Ghose Reducing Datapath Energy through the Isolation of Short-Lived Operands. Search on Bibsonomy IEEE PACT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Daniel Ortega, Eduard Ayguadé, Mateo Valero Dynamic memory instruction bypassing. Search on Bibsonomy ICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF on-chip memory management, superscalar processors
16Dmitry Ponomarev 0001, Gurhan Kucuk, Kanad Ghose AccuPower: An Accurate Power Estimation Tool for Superscalar Microprocessors. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Timothy Sherwood, Erez Perelman, Brad Calder Basic Block Distribution Analysis to Find Periodic Behavior and Simulation Points in Applications. Search on Bibsonomy IEEE PACT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Dmitry Ponomarev 0001, Gurhan Kucuk, Kanad Ghose Reducing power requirements of instruction scheduling through dynamic allocation of multiple datapath resources. Search on Bibsonomy MICRO The full citation details ... 2001 DBLP  DOI  BibTeX  RDF energy-efficient datapath, superscalar processor, power reduction, dynamic instruction scheduling
16Jim D. Garside, Stephen B. Furber, S.-H. Chung AMULET3 Revealed. Search on Bibsonomy ASYNC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16John Matthews, Byron Cook, John Launchbury Microprocessor Specification in Hawk. Search on Bibsonomy ICCL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Microprocessor Verification, Domain-Specific Language, Functional Language, Hardware Verification
Displaying result #1 - #85 of 85 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license