|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 253 occurrences of 209 keywords
|
|
|
Results
Found 531 publication records. Showing 531 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
131 | Hua Huang 0001, TianNan Fu, Paul L. Rosin, Chun Qi |
Real-time content-aware image resizing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Sci. China Ser. F Inf. Sci. ![In: Sci. China Ser. F Inf. Sci. 52(2), pp. 172-182, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Keyword content aware, video resizing, real time, matching, image resizing |
111 | Vijay Sundararajan, Keshab K. Parhi |
Low Power Gate Resizing of Combinational Circuits by Buffer-Redistribution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ARVLSI ![In: 18th Conference on Advanced Research in VLSI (ARVLSI '99), 21-24 March 1999, Atlanta, GA, USA, pp. 170-185, 1999, IEEE Computer Society, 0-7695-0056-0. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
Gate-resizing, Buffer-redistribution, near-optimal, library-specific, optimal, low-power |
99 | Lin Chen, Xiangxu Meng |
Anisotropic resizing of model with geometric textures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Symposium on Solid and Physical Modeling ![In: Proceedings of the 2009 ACM Symposium on Solid and Physical Modeling, San Francisco, California, USA, October 5-8, 2009, pp. 289-294, 2009, ACM, 978-1-60558-711-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
anisotropic resizing, geometric texture, texture synthesis |
94 | Stephen A. Martucci |
Image resizing in the discrete cosine transform domain. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIP ![In: Proceedings 1995 International Conference on Image Processing, Washington, DC, USA, October 23-26, 1995, pp. 244-247, 1995, IEEE Computer Society, 0-8186-7310-9. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
digital image preprocessing, lowpass filter, antiimaging, convolution-multiplication property, pixel domain downsampling, upsampling, standalone image resizing tool, image compression system, block DCT, data compression, image coding, image coding, discrete cosine transforms, convolution, JPEG, filtering theory, antialiasing, transform coding, image sampling, low-pass filters, DCT coefficients, image resizing, discrete cosine transform domain, DCT domain |
91 | Haiyan Shu, Lap-Pui Chau |
A Resizing Algorithm With Two-Stage Realization for DCT-Based Transcoding. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Circuits Syst. Video Technol. ![In: IEEE Trans. Circuits Syst. Video Technol. 17(2), pp. 248-253, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
91 | Haiyan Shu, Lap-Pui Chau |
Generalized arbitrary resizing for video transcoding. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2006), 21-24 May 2006, Island of Kos, Greece, 2006, IEEE, 0-7803-9389-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
91 | Guobin Shen, Bing Zeng, Ya-Qin Zhang, Ming L. Liou |
Transcoder with arbitrarily resizing capability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (5) ![In: Proceedings of the 2001 International Symposium on Circuits and Systems, ISCAS 2001, Sydney, Australia, May 6-9, 2001, pp. 25-28, 2001, IEEE, 0-7803-6685-9. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
86 | Yu-Shuen Wang, Chiew-Lan Tai, Olga Sorkine, Tong-Yee Lee |
Optimized scale-and-stretch for image resizing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Graph. ![In: ACM Trans. Graph. 27(5), pp. 118, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
arbitrary image resizing, nonlinear optimization, visual saliency |
66 | Jianping Xiao, Xuecheng Zou, Zhenglin Liu, Xu Guo |
Adaptive Interpolation Algorithm for Real-time Image Resizing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICICIC (2) ![In: First International Conference on Innovative Computing, Information and Control (ICICIC 2006), 30 August - 1 September 2006, Beijing, China, pp. 221-224, 2006, IEEE Computer Society, 0-7695-2616-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
66 | HyunWook Park, Young Seo Park, Seung-Kyun Oh |
L/M-fold image resizing in block-DCT domain using symmetric convolution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Image Process. ![In: IEEE Trans. Image Process. 12(9), pp. 1016-1034, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
66 | Supratik Chakraborty, Rajeev Murgai |
Complexity Of Minimum-Delay Gate Resizing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 14th International Conference on VLSI Design (VLSI Design 2001), 3-7 January 2001, Bangalore, India, pp. 425-430, 2001, IEEE Computer Society, 0-7695-0831-6. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
66 | Chen-Liang Fang, Wen-Ben Jone |
Timing optimization by gate resizing and critical path identification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 14(2), pp. 201-217, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
62 | Asela Gunawardana, Tim Paek, Christopher Meek |
Usability guided key-target resizing for soft keyboards. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IUI ![In: Proceedings of the 15th International Conference on Intelligent User Interfaces, IUI 2010, Hong Kong, China, February 7-10, 2010, pp. 111-118, 2010, ACM, 978-1-60558-515-4. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
source-channel key-target resizing, touch model, language model |
62 | Zhang Liu, Chaokun Wang, Jianmin Wang 0001, Wei Zheng, Shengfei Shi |
Structure-aware music resizing using lyrics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WWW ![In: Proceedings of the 19th International Conference on World Wide Web, WWW 2010, Raleigh, North Carolina, USA, April 26-30, 2010, pp. 1155-1156, 2010, ACM, 978-1-60558-799-8. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
music resizing, time-scale compression, rich media |
62 | Qing Dong 0002, Bo Yang 0004, Jing Li 0072, Shigetoshi Nakatake |
Incremental buffer insertion and module resizing algorithm using geometric programming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, Boston Area, MA, USA, May 10-12 2009, pp. 413-416, 2009, ACM, 978-1-60558-522-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
module resizing, floorplan, buffer insertion, geometric programming |
62 | Vladislav Kraevoy, Alla Sheffer, Ariel Shamir, Daniel Cohen-Or |
Non-homogeneous resizing of complex models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Graph. ![In: ACM Trans. Graph. 27(5), pp. 111, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
transformations, scaling, 3D meshes, resizing |
62 | Shai Avidan, Ariel Shamir |
Seam carving for content-aware image resizing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Graph. ![In: ACM Trans. Graph. 26(3), pp. 10, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
content-aware image manipulation, image seams, display devices, image resizing, image retargeting |
62 | Christina N. Outlay |
Resizing information systems personnel after IT outsourcing: exploring psychological contracts, violations, and employee outcomes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CPR ![In: Proceedings of the 2007 ACM SIGMIS CPR Conference on Computer Personnel Research 2007, April 19-21, 2007, St. Louis, Missouri, USA, pp. 224-227, 2007, ACM, 978-1-59593-641-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
psychological contract violation, strategic information systems planning, IT outsourcing, IS personnel, resizing |
60 | John F. Lucas, Ji-Sun Kim, Doug A. Bowman |
Resizing beyond widgets: object resizing techniques for immersive virtual environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHI Extended Abstracts ![In: Extended Abstracts Proceedings of the 2005 Conference on Human Factors in Computing Systems, CHI 2005, Portland, Oregon, USA, April 2-7, 2005, pp. 1601-1604, 2005, ACM, 1-59593-002-7. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
user testing and evaluation, virtual reality, 3D interaction |
56 | David Ahlström, Jürgen Großmann, Susanne Tak, Martin Hitz |
Exploring new window manipulation techniques. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OZCHI ![In: Proceedings of the 21st Australasian Computer-Human Interaction Conference, OZCHI 2009: Open 24/7, Melbourne, Australia, November 23-27, 2009, pp. 177-183, 2009, ACM, 978-1-60558-854-4. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
novel interaction techniques, window moving, window resizing, window management |
54 | Rajesh Sudarsan, Calvin J. Ribbens |
ReSHAPE: A Framework for Dynamic Resizing and Scheduling of Homogeneous Applications in a Parallel Environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: 2007 International Conference on Parallel Processing (ICPP 2007), September 10-14, 2007, Xi-An, China, pp. 44, 2007, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
54 | Vasant Patil, Rajeev Kumar, Jayanta Mukherjee 0001 |
A Fast Arbitrary Factor Video Resizing Algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Circuits Syst. Video Technol. ![In: IEEE Trans. Circuits Syst. Video Technol. 16(9), pp. 1164-1171, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
54 | Young Seo Park, Hyun Wook Park |
Arbitrary-ratio image resizing using fast DCT of composite length for DCT-based transcoder. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Image Process. ![In: IEEE Trans. Image Process. 15(2), pp. 494-500, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
54 | Ashok Kumar Das, S. K. Ghosh |
A bidirectional linear semi-systolic architecture for DCT-domain image resizing processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2006), 21-24 May 2006, Island of Kos, Greece, 2006, IEEE, 0-7803-9389-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
50 | Shanshan Gao, Caiming Zhang 0001, Yunfeng Zhang 0001 |
A New Algorithm for Image Resizing Based on Bivariate Rational Interpolation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCS (2) ![In: Computational Science - ICCS 2009, 9th International Conference, Baton Rouge, LA, USA, May 25-27, 2009, Proceedings, Part II, pp. 770-779, 2009, Springer, 978-3-642-01972-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Rational Interpolating Spline, Shape Preserving, Image Resizing |
50 | Houman Homayoun, Sudeep Pasricha, Mohammad A. Makhzan, Alexander V. Veidenbaum |
Improving performance and reducing energy-delay with adaptive resource resizing for out-of-order embedded processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES ![In: Proceedings of the 2008 ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'08), Tucson, AZ, USA, June 12-13, 2008, pp. 71-78, 2008, ACM, 978-1-60558-104-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
energy-delay, out-of-order embedded processor, resource resizing, performance, architecture |
50 | Houman Homayoun, Sudeep Pasricha, Mohammad A. Makhzan, Alexander V. Veidenbaum |
Dynamic register file resizing and frequency scaling to improve embedded processor performance and energy-delay efficiency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 45th Design Automation Conference, DAC 2008, Anaheim, CA, USA, June 8-13, 2008, pp. 68-71, 2008, ACM, 978-1-60558-115-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
dynamic resizing, performance, embedded processor, register file |
50 | Pierre Dragicevic, Stéphane Chatty, David Thevenin, Jean-Luc Vinot |
Artistic resizing: a technique for rich scale-sensitive vector graphics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
UIST ![In: Proceedings of the 18th Annual ACM Symposium on User Interface Software and Technology, Seattle, WA, USA, October 23-26, 2005, pp. 201-210, 2005, ACM, 1-59593-271-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
GUI tools, constraints, interpolation, layout, SVG, visual design, vector graphics, resizing |
50 | Michael Zhang, Krste Asanovic |
Fine-grain CAM-tag cache resizing using miss tags. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2002 International Symposium on Low Power Electronics and Design, 2002, Monterey, California, USA, August 12-14, 2002, pp. 130-135, 2002, ACM, 1-58113-475-4. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
cache resizing, low-power, energy efficiency, leakage current, content-addressable-memory |
50 | Barry J. Sullivan, Rashid Ansari, Maryellen L. Giger, Heber MacMahon |
Effects of image preprocessing/resizing on diagnostic quality of compressed medical images [chest radiographs application]. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIP ![In: Proceedings 1995 International Conference on Image Processing, Washington, DC, USA, October 23-26, 1995, pp. 13-16, 1995, IEEE Computer Society, 0-8186-7310-9. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
diagnostic quality, compressed medical images, prefiltering effect, quantizer coarseness, data compression, medical image processing, resolution, image resolution, compression ratio, medical diagnostic imaging, diagnostic radiography, chest radiographs, image resizing, image preprocessing |
49 | Se-Hyun Yang, Michael D. Powell, Babak Falsafi, T. N. Vijaykumar |
Exploiting Choice in Resizable Cache Design to Optimize Deep-Submicron Processor Energy-Delay. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the Eighth International Symposium on High-Performance Computer Architecture (HPCA'02), Boston, Massachusettes, USA, February 2-6, 2002, pp. 151-161, 2002, IEEE Computer Society, 0-7695-1525-8. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
resizable cache design, low power processor, energy aware architecture |
44 | Rajesh Sudarsan, Calvin J. Ribbens |
Efficient Multidimensional Data Redistribution for Resizable Parallel Computations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPA ![In: Parallel and Distributed Processing and Applications, 5th International Symposium, ISPA 2007, Niagara Falls, Canada, August 29-31, 2007, Proceedings, pp. 182-194, 2007, Springer, 978-3-540-74741-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Dynamic resizing, process remapping, resizable applications, Dynamic scheduling, Data redistribution, Dynamic resource management |
42 | Omer Khan, Sandip Kundu |
A model to exploit power-performance efficiency in superscalar processors via structure resizing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, Providence, Rhode Island, USA, May 16-18 2010, pp. 215-220, 2010, ACM, 978-1-4503-0012-4. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
modeling, power |
42 | Marc Rodríguez 0001, Gaëlle Largeteau-Skapin, Eric Andres |
Adaptive Pixel Resizing for Multiscale Recognition and Reconstruction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWCIA ![In: Combinatorial Image Analysis, 13th International Workshop, IWCIA 2009, Playa del Carmen, Mexico, November 24-27, 2009. Proceedings, pp. 252-265, 2009, Springer, 978-3-642-10208-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Reconstruction, recognition, multiscale, parameter space |
42 | Ee-Leng Tan, Woon-Seng Gan, Meng-Tong Wong |
Fast Arbitrary Resizing of Images in DCT Domain. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICME ![In: Proceedings of the 2007 IEEE International Conference on Multimedia and Expo, ICME 2007, July 2-5, 2007, Beijing, China, pp. 1671-1674, 2007, IEEE Computer Society, 1-4244-1017-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
42 | Carlos Salazar-Lazaro, Trac D. Tran |
A More Efficient and Video Friendly Spatial Resizing Algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIP ![In: Proceedings of the International Conference on Image Processing, ICIP 2006, October 8-11, Atlanta, Georgia, USA, pp. 877-880, 2006, IEEE, 1-4244-0480-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
42 | Pingli Billy Kao, Brian Nutter |
Application of Maximum Entropy-Based Image Resizing to Biomedical Imaging. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CBMS ![In: 19th IEEE International Symposium on Computer-Based Medical Systems (CBMS 2006), 22-23 June 2006, Salt Lake City, Utah, USA, pp. 813-819, 2006, IEEE Computer Society, 978-0-7695-2517-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
42 | Le Cai, Yung-Hsiang Lu |
Power reduction of multiple disks using dynamic cache resizing and speed control. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006, pp. 186-190, 2006, ACM, 1-59593-462-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
disk rotation speed, power management, disk cache |
42 | Carlos Salazar-Lazaro, Trac D. Tran |
Flexible resizing algorithms for video transcoding. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (2) ![In: International Symposium on Circuits and Systems (ISCAS 2005), 23-26 May 2005, Kobe, Japan, pp. 916-919, 2005, IEEE, 0-7803-8834-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
42 | Donghoon Han, Abhijit Chatterjee |
Device Resizing Based Optimization of Analog Circuits for Reduced Test Cost: Cost Metric and Case Study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 13th Asian Test Symposium (ATS 2004), 15-17 November 2004, Kenting, Taiwan, pp. 420-425, 2004, IEEE Computer Society, 0-7695-2235-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
42 | Luis Roberto Morales-Manilla, Guillermo Sánchez-Díaz, Ramon Soto |
An Image Resizing Algorithm for Binary Maps. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ENC ![In: 5th Mexican International Conference on Computer Science (ENC 2004), 20-24 September 2004, Colima, Mexico, pp. 126-132, 2004, IEEE Computer Society, 0-7695-2160-6. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
42 | Mohamed M. Zahran, Manoj Franklin |
Dynamic Thread Resizing for Speculative Multithreaded Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 21st International Conference on Computer Design (ICCD 2003),VLSI in Computers and Processors, 13-15 October 2003, San Jose, CA, USA, Proceedings, pp. 313-, 2003, IEEE Computer Society, 0-7695-2025-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
42 | Vincenzo Di Lecce, Giovanni Dimauro, Andrea Guerriero, Giovanni Impedovo, Giuseppe Pirlo, A. Salzo |
Electronic Document Image Resizing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDAR ![In: Fifth International Conference on Document Analysis and Recognition, ICDAR 1999, 20-22 September, 1999, Bangalore, India, pp. 63-66, 1999, IEEE Computer Society, 0-7695-0318-7. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
42 | Tsuneo Okubo, Takashi Watanabe, Kou Wada, Kazuyuki Saito |
A novel geometric resizing technique for data conversion from CAD data to electron beam exposure data. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 11(9), pp. 1104-1113, 1992. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
38 | Anthony P. Badali, Parham Aarabi, Ron D. Appel |
Intelligent ad resizing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WWW ![In: Proceedings of the 19th International Conference on World Wide Web, WWW 2010, Raleigh, North Carolina, USA, April 26-30, 2010, pp. 1053-1054, 2010, ACM, 978-1-60558-799-8. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
internet monetization, image processing, image resizing |
38 | Corinne Ancourt, Thi Viet Nga Nguyen |
Array resizing for scientific code debugging, maintenance and reuse. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PASTE ![In: Proceedings of the 2001 ACM SIGPLAN-SIGSOFT Workshop on Program Analysis For Software Tools and Engineering, PASTE'01, Snowbird, Utah, USA, June 18-19, 2001, pp. 32-37, 2001, ACM, 1-58113-413-4. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
array declaration, array region, array resizing, reverse-engineering, debug, reuse, FORTRAN, program analysis, program comprehension |
36 | Yuzhen Niu, Feng Liu 0015, Xueqing Li, Huiyun Bao, Michael Gleicher |
Detection of image stretching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APGV ![In: Proceedings of the 7th Symposium on Applied Perception in Graphics and Visualization, APGV 2010, Los Angeles, California, USA, July 23-24, 2010, pp. 93-100, 2010, ACM, 978-1-4503-0248-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
image stretching, perceptual sensitivity, user study, image distortion, image retargeting |
36 | Richard Y. M. Li, Oscar C. Au, Carman K. M. Yuk, Shu-Kei Yip, Tai-Wai Chan |
Enhanced Image Trans-coding Using Reversible Data Hiding. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2007), 27-20 May 2007, New Orleans, Louisiana, USA, pp. 1273-1276, 2007, IEEE, 1-4244-0920-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
36 | P. Srivatsan, P. B. Sudarshan, P. P. Bhaskaran |
DYNORA: A New Caching Technique. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSD ![In: 2003 Euromicro Symposium on Digital Systems Design (DSD 2003), Architectures, Methods and Tools, 3-5 September 2003, Belek-Antalya, Turkey, pp. 70-75, 2003, IEEE Computer Society, 0-7695-2003-0. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
36 | Juho Kim, David Hung-Chang Du |
Performance optimization by gate sizing and path sensitization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 17(5), pp. 459-462, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
36 | Zhang Liu, Chaokun Wang, Jianmin Wang 0001, Hao Wang, Yiyuan Bai |
Adaptive music resizing with stretching, cropping and insertion - A generic content-aware music resizing framework. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Multim. Syst. ![In: Multim. Syst. 19(4), pp. 359-380, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
32 | Thi Nhat Anh Nguyen, Wenxian Yang, Jianfei Cai 0001 |
Seam carving extension: a compression perspective. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Multimedia ![In: Proceedings of the 17th International Conference on Multimedia 2009, Vancouver, British Columbia, Canada, October 19-24, 2009, pp. 825-828, 2009, ACM, 978-1-60558-608-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
multi-size, image compression, content-based, image resizing |
32 | Kei Utsugi, Takuma Shibahara, Takafumi Koike, Takeshi Naemura |
Proportional constraint for seam carving. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGGRAPH Posters ![In: International Conference on Computer Graphics and Interactive Techniques, SIGGRAPH 2009, New Orleans, Louisiana, USA, August 3-7, 2009, Poster Proceedings, 2009, ACM, 978-1-4503-7928-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
feedback, seam-carving, image resizing |
32 | Donghong Han, Guoren Wang, Chuan Xiao 0001, Rui Zhou 0001 |
Load Shedding for Window Joins over Streams. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Comput. Sci. Technol. ![In: J. Comput. Sci. Technol. 22(2), pp. 182-189, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
window joins, dual window model, window resizing, data stream, load shedding |
32 | Chang-Hao Tsai, Kang G. Shin, John Reumann, Sharad Singhal |
Online Web Cluster Capacity Estimation and Its Application to Energy Conservation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 18(7), pp. 932-945, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Web servers and clients, service-capacity estimation and on-demand resource allocation, cluster resizing and energy savings, Server cluster |
32 | Alain Horé, Djemel Ziou, François Deschênes |
A New Image Scaling Algorithm Based on the Sampling Theorem of Papoulis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIAR ![In: Image Analysis and Recognition, 4th International Conference, ICIAR 2007, Montreal, Canada, August 22-24, 2007, Proceedings, pp. 1-11, 2007, Springer, 978-3-540-74258-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Papoulis, image, scaling, resolution, curvature, derivatives, resizing |
32 | Renato Fernandes Hentschke, Jagannathan Narasimhan, David S. Kung 0001 |
Improving run times by pruned application of synthesis transforms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBCCI ![In: Proceedings of the 18th Annual Symposium on Integrated Circuits and Systems Design, SBCCI 2005, Florianolpolis, Brazil, September 4-7, 2005, pp. 38-43, 2005, ACM. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
synthesis, filtering, buffering, driver, resizing |
30 | Timothée Levi, Jean Tomas, Noëlle Lewis, Pascal Fouillat |
A CMOS Resizing Methodology for Analog Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test Comput. ![In: IEEE Des. Test Comput. 26(1), pp. 78-87, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
30 | Rajesh Sudarsan, Calvin J. Ribbens, Diana Farkas |
Dynamic Resizing of Parallel Scientific Simulations: A Case Study Using LAMMPS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCS (1) ![In: Computational Science - ICCS 2009, 9th International Conference, Baton Rouge, LA, USA, May 25-27, 2009, Proceedings, Part I, pp. 175-184, 2009, Springer, 978-3-642-01969-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
LAMMPS, parallel clusters, dynamic scheduling, data redistribution |
30 | Dmitry Bufistov, Jorge Júlvez, Jordi Cortadella |
Performance optimization of elastic systems using buffer resizing and buffer insertion. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2008 International Conference on Computer-Aided Design, ICCAD 2008, San Jose, CA, USA, November 10-13, 2008, pp. 442-448, 2008, IEEE Computer Society, 978-1-4244-2820-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
30 | Carlos Salazar-Lazaro, Trac D. Tran |
A Complexity Scalable Universal DCT Domain Image Resizing Algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Circuits Syst. Video Technol. ![In: IEEE Trans. Circuits Syst. Video Technol. 17(4), pp. 495-499, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
30 | Amir Said |
A New Class of Filters for Image Interpolation and Resizing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIP (4) ![In: Proceedings of the International Conference on Image Processing, ICIP 2007, September 16-19, 2007, San Antonio, Texas, USA, pp. 217-220, 2007, IEEE, 978-1-4244-1436-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
30 | Lily Rachmawati, D. Srinivasain |
Dynamic resizing for grid-based archiving in evolutionary multi objective optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Congress on Evolutionary Computation ![In: Proceedings of the IEEE Congress on Evolutionary Computation, CEC 2007, 25-28 September 2007, Singapore, pp. 3975-3982, 2007, IEEE, 978-1-4244-1339-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
30 | Subramanian Ramaswamy, Sudhakar Yalamanchili |
Improving cache efficiency via resizing + remapping. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 25th International Conference on Computer Design, ICCD 2007, 7-10 October 2007, Lake Tahoe, CA, USA, Proceedings, pp. 47-54, 2007, IEEE, 1-4244-1258-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
30 | Rajeev R. Rao, David T. Blaauw, Dennis Sylvester |
Soft error reduction in combinational logic using gate resizing and flipflop selection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2006 International Conference on Computer-Aided Design, ICCAD 2006, San Jose, CA, USA, November 5-9, 2006, pp. 502-509, 2006, ACM, 1-59593-389-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
30 | Ci Wang, Ping Xue 0001, Weisi Lin |
Two-Layer Image Resizing for Scalable CODEC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICME ![In: Proceedings of the 2006 IEEE International Conference on Multimedia and Expo, ICME 2006, July 9-12 2006, Toronto, Ontario, Canada, pp. 1457-1460, 2006, IEEE Computer Society, 1-4244-0367-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
30 | Tovi Grossman, Ravin Balakrishnan |
The bubble cursor: enhancing target acquisition by dynamic resizing of the cursor's activation area. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHI ![In: Proceedings of the 2005 Conference on Human Factors in Computing Systems, CHI 2005, Portland, Oregon, USA, April 2-7, 2005, pp. 281-290, 2005, ACM, 1-58113-998-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
area cursor, Fitts' law, target acquisition, bubble cursor |
30 | Victor Delaluz, Mahmut T. Kandemir, Anand Sivasubramaniam, Mary Jane Irwin, Narayanan Vijaykrishnan |
Reducing dTLB Energy Through Dynamic Resizing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 21st International Conference on Computer Design (ICCD 2003),VLSI in Computers and Processors, 13-15 October 2003, San Jose, CA, USA, Proceedings, pp. 358-363, 2003, IEEE Computer Society, 0-7695-2025-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
30 | Carlos Galup-Montoro, Márcio C. Schneider, Rafael M. Coitinho |
Resizing Rules for MOS Analog-Design Reuse. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test Comput. ![In: IEEE Des. Test Comput. 19(2), pp. 50-58, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
30 | Seung H. Rhee, Jaewoo Yoon, Heonjun Choi, Insoo Choi |
Dynamic Capacity Resizing of Virtual Backbone Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICN (1) ![In: Networking - ICN 2001, First International Conference, Colmar, France, July 9-13, 2001 Proceedings, Part 1, pp. 698-707, 2001, Springer, 3-540-42302-8. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
30 | Yuan-Chung Lee, Chein-Wei Jen |
Arbitrarily scalable edge-preserving interpolation for 3-D graphics and video resizing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (2) ![In: Proceedings of the 2001 International Symposium on Circuits and Systems, ISCAS 2001, Sydney, Australia, May 6-9, 2001, pp. 317-320, 2001, IEEE, 0-7803-6685-9. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
30 | Chulhee Lee, Murray Eden, Michael Unser |
High-quality image resizing using oblique projection operators. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Image Process. ![In: IEEE Trans. Image Process. 7(5), pp. 679-692, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
30 | Weitong Chuang, Ibrahim N. Hajj |
Delay and area optimization for compact placement by gate resizing and relocation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 1994 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1994, San Jose, California, USA, November 6-10, 1994, pp. 145-148, 1994, IEEE Computer Society / ACM, 0-89791-690-5. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
24 | Ariel Shamir, Olga Sorkine |
Visual media retargeting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGGRAPH ASIA Courses ![In: International Conference on Computer Graphics and Interactive Techniques, SIGGRAPH ASIA 2009, Yokohama, Japan, December 16-19, 2009, Courses Proceedings, 2009, ACM. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
media retargeting, image warping, temporal coherence, visual saliency, seam carving |
24 | Rainer Gemulla, Wolfgang Lehner, Peter J. Haas |
Maintaining bounded-size sample synopses of evolving datasets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLDB J. ![In: VLDB J. 17(2), pp. 173-202, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Database sampling, Reservoir sampling, Sample maintenance, Synopsis |
24 | Chris Grzegorczyk, Sunil Soman, Chandra Krintz, Richard Wolski |
Isla Vista Heap Sizing: Using Feedback to Avoid Paging. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CGO ![In: Fifth International Symposium on Code Generation and Optimization (CGO 2007), 11-14 March 2007, San Jose, California, USA, pp. 325-340, 2007, IEEE Computer Society, 978-0-7695-2764-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
24 | Merdan Atajanov, Toshihiko Shimokawa, Norihiko Yoshida |
Autonomic Multi-server Distribution in Flash Crowds Alleviation Network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUC Workshops ![In: Emerging Directions in Embedded and Ubiquitous Computing, EUC 2007 Workshops: TRUST, WSOC, NCUS, UUWSN, USN, ESO, and SECUBIQ, Taipei, Taiwan, December 17-20, 2007, Proceedings, pp. 309-320, 2007, Springer, 978-3-540-77089-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Internet Load Distribution, Content Distribution Networks, Flash Crowds |
24 | Jaume Abella 0001, Antonio González 0001 |
Heterogeneous way-size cache. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 20th Annual International Conference on Supercomputing, ICS 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006, pp. 239-248, 2006, ACM, 1-59593-282-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
adaptive, low power, cache memories, set-associative |
24 | Dongwook Lee 0002, Jinah Park |
Enhanced 3D Interaction with Augmented Visual Information. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICAT ![In: Advances in Artificial Reality and Tele-Existence, 16th International Conference on Artificial Reality and Telexistence, ICAT 2006, Hangzhou, China, November 29 - December 1, 2006, Proceedings, pp. 1293-1304, 2006, Springer, 3-540-49776-5. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Human Computer Interaction, Virtual Environment |
24 | Christopher K. Monson, Kevin D. Seppi |
Exposing origin-seeking bias in PSO. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GECCO ![In: Genetic and Evolutionary Computation Conference, GECCO 2005, Proceedings, Washington DC, USA, June 25-29, 2005, pp. 241-248, 2005, ACM, 1-59593-010-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
initialization bias, optimization, swarm intelligence |
24 | Bigang Li, Jiwu Shu, Fei Mu, Weimin Zheng |
A Cluster LVM for SAN Environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPA Workshops ![In: Parallel and Distributed Processing and Applications - ISPA 2005 Workshops, ISPA 2005 International Workshops AEPP, ASTD, BIOS, GCIC, IADS, MASN, SGCA, and WISA, Nanjing, China, November 2-5, 2005, Proceedings, pp. 149-158, 2005, Springer, 3-540-29770-7. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
24 | Geoffrey S. Hubona, Gregory W. Shirah, D. K. Jennings |
The effects of cast shadows and stereopsis on performing computer-generated spatial tasks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Syst. Man Cybern. Part A ![In: IEEE Trans. Syst. Man Cybern. Part A 34(4), pp. 483-493, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
24 | Vikas Chandra, Anthony Xu, Herman Schmit |
A low power approach to system level pipelined interconnect design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SLIP ![In: The Sixth International Workshop on System-Level Interconnect Prediction (SLIP 2004), Paris, France, February 14-15, 2004, Proceedings, pp. 45-52, 2004, ACM, 1-58113-818-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
pipelined interconnect, low power, voltage scaling |
24 | Amit Agarwal 0001, Bipul Chandra Paul, Kaushik Roy 0001 |
A Novel Fault Tolerant Cache to Improve Yield in Nanometer Technologies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IOLTS ![In: 10th IEEE International On-Line Testing Symposium (IOLTS 2004), 12-14 July 2004, Funchal, Madeira Island, Portugal, pp. 149-154, 2004, IEEE Computer Society, 0-7695-2180-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
24 | Geoffrey S. Hubona, Gregory W. Shirah |
The Gender Factor Performing Visualization Tasks on Computer Media. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS ![In: 37th Hawaii International Conference on System Sciences (HICSS-37 2004), CD-ROM / Abstracts Proceedings, 5-8 January 2004, Big Island, HI, USA, 2004, IEEE Computer Society, 0-7695-2056-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
24 | Nick G. Duffield, Pawan Goyal 0001, Albert G. Greenberg, Partho Pratim Mishra, K. K. Ramakrishnan, Jacobus E. van der Merwe |
Resource management with hoses: point-to-cloud services for virtual private networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE/ACM Trans. Netw. ![In: IEEE/ACM Trans. Netw. 10(5), pp. 679-692, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
point-to-cloud, quality of service, service level agreements, point-to-multipoint |
24 | Supratik Chakraborty, Rajeev Murgai |
Layout-Driven Timing Optimization by Generalized De Morgan Transform. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC/VLSI Design ![In: Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), and the 15th International Conference on VLSI Design (VLSI Design 2002), Bangalore, India, January 7-11, 2002, pp. 647-654, 2002, IEEE Computer Society, 0-7695-1299-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
layout-driven optimization, in-place circuit optimization, DeMorgan transformation, deep sub-micron design, Timing optimization, timing closure |
24 | Kosuke Yoshioka, Hiroyuki Oka, Hideshi Nishida, Ryuji Matsuura, Tokuzo Kiyohara |
An architectural extension to the media core processor for HDTV applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APCCAS (1) ![In: IEEE Asia Pacific Conference on Circuits and Systems 2002, APCCAS 2002, Singapore, 16-18 December 2002, pp. 29-33, 2002, IEEE, 0-7803-7690-0. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
24 | Nick G. Duffield, Pawan Goyal 0001, Albert G. Greenberg, Partho Pratim Mishra, K. K. Ramakrishnan, Jacobus E. van der Merwe |
A Flexible Model for Resource Management in Virtual Private Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGCOMM ![In: Proceedings of the ACM SIGCOMM 1999 Conference on Applications, Technologies, Architectures, and Protocols for Computer Communication, August 30 - September 3, 1999, Cambridge, Massachusetts, USA, pp. 95-108, 1999, ACM, 1-58113-135-6. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
24 | R. Iris Bahar, Hyunwoo Cho, Gary D. Hachtel, Enrico Macii, Fabio Somenzi |
Symbolic timing analysis and resynthesis for low power of combinational circuits containing false paths. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 16(10), pp. 1101-1115, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
20 | Xiaorui Wang, Kai Ma, Yefu Wang |
Adaptive Power Control with Online Model Estimation for Chip Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 22(10), pp. 1681-1696, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
power capping, cache resizing, online model estimation, chip multiprocessor, Power control, feedback control |
20 | Jaekwan Park, Kyeongju Kim, Sungwoo Ahn, Bonghee Hong |
Continuous Query Processing on Combined Data Stream: Sensor, Location and Identification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITNG ![In: Seventh International Conference on Information Technology: New Generations, ITNG 2010, Las Vegas, Nevada, USA, 12-14 April 2010, pp. 518-522, 2010, IEEE Computer Society, 978-0-7695-3984-3. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
queue resizing, RFID, data stream, sensor, continuous query |
20 | Michael Rubinstein, Ariel Shamir, Shai Avidan |
Multi-operator media retargeting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Graph. ![In: ACM Trans. Graph. 28(3), pp. 23, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
bidirectional warping, media retargeting, multi-operator, resizing space |
20 | Vasileios Kontorinis, Amirali Shayan, Dean M. Tullsen, Rakesh Kumar 0002 |
Reducing peak power with a table-driven adaptive processor core. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 42st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-42 2009), December 12-16, 2009, New York, New York, USA, pp. 189-200, 2009, ACM, 978-1-60558-798-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
resource resizing, voltage variation, peak power, adaptive architectures, decoupling capacitance |
20 | Ke Meng, Russ Joseph, Robert P. Dick, Li Shang |
Multi-optimization power management for chip multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: 17th International Conference on Parallel Architectures and Compilation Techniques, PACT 2008, Toronto, Ontario, Canada, October 25-29, 2008, pp. 177-186, 2008, ACM, 978-1-60558-282-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
cache resizing, voltage/frequency scaling, dynamic power management, chip multi-processor |
20 | Alain Horé, François Deschênes, Djemel Ziou |
A Simple Scaling Algorithm Based on Areas Pixels. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIAR ![In: Image Analysis and Recognition, 5th International Conference, ICIAR 2008, Póvoa de Varzim, Portugal, June 25-27, 2008. Proceedings, pp. 53-64, 2008, Springer, 978-3-540-69811-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
area pixel, mid point rule, scaling, standard deviation, Resizing |
18 | Jila Ayubi, Mehdi Chehel Amirani, Morteza Valizadeh |
A new content-aware image resizing based on Rényi entropy and deep learning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Neural Comput. Appl. ![In: Neural Comput. Appl. 36(15), pp. 8885-8899, May 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
18 | Daryl Jacob Jerrish, Om Nankar, Shilpa Gite, Shruti Patil, Ketan Kotecha, Ganeshsree Selvachandran, Ajith Abraham |
Deep learning approaches for lyme disease detection: leveraging progressive resizing and self-supervised learning models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Multim. Tools Appl. ![In: Multim. Tools Appl. 83(7), pp. 21281-21318, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
18 | Zhe Jiang 0004, Kecheng Yang 0001, Nathan Fisher, Nan Guan, Neil C. Audsley, Zheng Dong 0002 |
Hopscotch: A Hardware-Software Co-Design for Efficient Cache Resizing on Multi-Core SoCs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 35(1), pp. 89-104, January 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
18 | Md. Zahangir Alom, Quynh T. Tran, Brent A. Orr |
Learned Image resizing with efficient training (LRET) facilitates improved performance of large-scale digital histopathology image classification models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2401.11062, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
Displaying result #1 - #100 of 531 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ 4][ 5][ 6][ >>] |
|