The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase SEU-Tolerance (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
2005-2017 (15) 2019 (1)
Publication types (Num. hits)
article(3) inproceedings(13)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 5 occurrences of 5 keywords

Results
Found 16 publication records. Showing 16 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
71Alireza Ejlali, Bashir M. Al-Hashimi, Marcus T. Schmitz, Paul M. Rosinger, Seyed Ghassem Miremadi Combined time and information redundancy for SEU-tolerance in energy-efficient real-time systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
62Rajesh Garg, Sunil P. Khatri Efficient analytical determination of the SEU-induced pulse shape. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
60Ahmad Patooghy, Mahdi Fazeli, Seyed Ghassem Miremadi A Low-Power and SEU-Tolerant Switch Architecture for Network on Chips. Search on Bibsonomy PRDC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF SEU-Tolerance, Power Consumption, NoC
45Rajesh Garg, Sunil P. Khatri A novel, highly SEU tolerant digital circuit design approach. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Chong Zhao, Sujit Dey Improving Transient Error Tolerance of Digital VLSI Circuits Using RObustness COmpiler (ROCO). Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Rui Gong, Wei Chen 0009, Fang Liu 0002, Kui Dai, Zhiying Wang 0003 A New Approach to Single Event Effect Tolerance Based on Asynchronous Circuit Technique. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF SEE tolerance, SEU tolerance, SET tolerance, Asynchronous circuit
28Takashi Yoshida, Kazutoshi Kobayashi, Jun Furuta Total Ionizing Dose Effects by alpha irradiation on circuit performance and SEU tolerance in thin BOX FDSOI process. Search on Bibsonomy IOLTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
28Ahmed S. Sajit, Michael A. Turi SEU tolerance of FinFET 6T SRAM, 8T SRAM and DICE memory cells. Search on Bibsonomy CCWC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
28Igor Villata, Unai Bidarte, Uli Kretzschmar, Armando Astarloa, Jesús Lázaro 0001 Fast and accurate SEU-tolerance characterization method for Zynq SoCs. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
28Wei Wei 0034, Fabrizio Lombardi, Kazuteru Namba Designs and analysis of non-volatile memory cells for single event upset (SEU) tolerance. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
28Seokjoong Kim, Matthew R. Guthaus Dynamic voltage scaling for SEU-tolerance in low-power memories. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
28Takashi Imagawa, Masayuki Hiromoto, Hiroyuki Ochi, Takashi Sato A routing architecture exploration for coarse-grained reconfigurable architecture with automated seu-tolerance evaluation. Search on Bibsonomy SoCC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
28Toshimasa Funaki, Toshinori Sato Formulating MITF for a Multicore Processor with SEU Tolerance. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Costas Argyrides, Fabian Vargas 0001, Marlon Moraes, Dhiraj K. Pradhan Embedding Current Monitoring in H-Tree RAM Architecture for Multiple SEU Tolerance and Reliability Improvement. Search on Bibsonomy IOLTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Alireza Ejlali, Marcus T. Schmitz, Bashir M. Al-Hashimi, Seyed Ghassem Miremadi, Paul M. Rosinger Energy efficient SEU-tolerance in DVS-enabled real-time systems through information redundancy. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF single event upset (SEU), dynamic voltage scaling (DVS), information redundancy
22Chong Zhao, Yi Zhao, Sujit Dey Intelligent Robustness Insertion for Optimal Transient Error Tolerance Improvement in VLSI Circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #16 of 16 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license