The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase Self-testability (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1990-2007 (12)
Publication types (Num. hits)
article(1) inproceedings(11)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 16 occurrences of 14 keywords

Results
Found 12 publication records. Showing 12 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
64Xiaowei Li 0001, Toshimitsu Masuzawa, Hideo Fujiwara Strong self-testability for data paths high-level synthesis. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF self-testability, testability constraints, interconnection assignment, test resources reusability, high level synthesis, high-level synthesis, design for testability, register transfer level, data flow graphs, data paths, register assignment
40Sami Beydeda Self-Metamorphic-Testing Components. Search on Bibsonomy COMPSAC (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Xiaowei Li 0001, Paul Y. S. Cheung Data Path Synthesis for BIST with Low Area Overhead. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
27Sami Beydeda Self-Testability in Unit Testing. Search on Bibsonomy COMPSAC (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Andrzej Krasniewski Design of Dependable Hardware: What BIST is most Efficient? Search on Bibsonomy EDCC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Tianjia Sun, Li Guo 0004 One New In-Operation Self-Testability Mechanism Designed for SoC Microchips following IEEE STD 1500. Search on Bibsonomy ICPP Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Manoj Singh Gaur, Mark Zwolinski Integrating Self Testability with Design Space Exploration by a Controller based Estimation Technique. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Ian G. Harris, Alex Orailoglu SYNCBIST: SYNthesis for Concurrent Built-In-Self-Testability. Search on Bibsonomy ICCD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Christos A. Papachristou, Scott Chiu, Haidar Harmanani SYNTEST: A Method for High-Level SYNthesis with Self-TESTability. Search on Bibsonomy ICCD The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
17Sami Beydeda STECC: Selbsttestende Software-Komponenten. Search on Bibsonomy Inform. Forsch. Entwickl. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Self-testability, Control flow graph generation, Path-oriented testing, Component-based development
12Steve Hodgson, Len Theobald, W. B. Hughes, Richard Illman ASTA: an integrated system for bist analysis & automatic test generation. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
10Mahsa Vahidi, Alex Orailoglu Testability metrics for synthesis of self-testable designs and effective test plans. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF testability metrics, self-testable designs, effective test plans, unified metrics, synthesis phases, VLSI, VLSI, built-in self test, high level synthesis, high level synthesis, design for testability, BIST, DFT, logic CAD, integrated circuit design, benchmark designs
Displaying result #1 - #12 of 12 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license