The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for SimpleScalar with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1997-2001 (22) 2002-2003 (22) 2004 (24) 2005 (15) 2006 (30) 2007 (17) 2008 (17) 2009-2015 (7)
Publication types (Num. hits)
article(32) inproceedings(122)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 120 occurrences of 99 keywords

Results
Found 154 publication records. Showing 154 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
97Naraig Manjikian More enhancements of the simplescalar tool set. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
77Harold W. Cain, Kevin M. Lepak, Mikko H. Lipasti A dynamic binary translation approach to architectural simulation. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
64Gi-Ho Park, Sung Woo Chung, Han-Jong Kim, Jung-Bin Im, Jung-Wook Park, Shin-Dug Kim, Sung-Bae Park Practice and Experience of an Embedded Processor Core Modeling. Search on Bibsonomy HPCC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
58Doug Burger, Todd M. Austin, Stephen W. Keckler Recent extensions to the SimpleScalar tool suite. Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
58Todd M. Austin, Eric Larson, Dan Ernst SimpleScalar: An Infrastructure for Computer System Modeling. Search on Bibsonomy Computer The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
58Naraig Manjikian Multiprocessor enhancements of the SimpleScalar tool set. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
45Rongrong Zhong, Yongxin Zhu 0001, Weiwei Chen, Mingliang Lin, Weng-Fai Wong An Inter-Core Communication Enabled Multi-Core Simulator Based on SimpleScalar. Search on Bibsonomy AINA Workshops (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
44Peng Chen 0012, Krishna M. Kavi, Robert Akl Performance Enhancement by Eliminating Redundant Function Execution. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Function reuse, Basic Block Reuse, SimpleScalar, Instruction Level Parallelism, Speculative Execution, Value Prediction, Instruction Reuse
44Justin Teller, Charles B. Silio Jr., Bruce L. Jacob Performance characteristics of MAUI: an intelligent memory system architecture. Search on Bibsonomy Memory System Performance The full citation details ... 2005 DBLP  DOI  BibTeX  RDF MAUI memory architecture, SimpleScalar simulator, data-intensive calculations, intelligent memory, memory architecture, vector processing, SIMD processing
32Kleovoulos Kalaitzidis, Georgios Dimitriou, Georgios I. Stamoulis, Michael F. Dossis Performance and power simulation of a functional-unit-network processor with simplescalar and wattch. Search on Bibsonomy Panhellenic Conference on Informatics The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
32Zidong Du, Bingbing Xia, Fei Qiao, Huazhong Yang System-Level Evaluation of Video Processing System Using SimpleScalar-Based Multi-core Processor Simulator. Search on Bibsonomy ISADS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
32Xiaoguang Ren, Yuhua Tang, Tao Tang, Sen Ye, Huiquan Wang, Jing Zhou Sim-spm: A SimpleScalar-Based Simulator for Multi-level SPM Memory Hierarchy Architecture. Search on Bibsonomy HPCC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
32Toshihiro Hanawa, Toshiya Minai, Yasuki Tanabe, Hideharu Amano Implementation of ISIS-SimpleScalar. Search on Bibsonomy PDPTA The full citation details ... 2005 DBLP  BibTeX  RDF
32Naoman Abbas, Sumant Tambe, Jonathan E. Cook Using DDL to Understand and Modify SimpleScalar. Search on Bibsonomy WCRE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Naraig Manjikian Parallel simulation of multiprocessor execution: implementation and results for simplescalar. Search on Bibsonomy ISPASS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
32Naraig Manjikian Enhancements and applications of the SimpleScalar simulator for undergraduate and graduate computer architecture education. Search on Bibsonomy WCAE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
32Todd M. Austin The SimpleScalar tool set as an instructional tool: experiences and future directions. Search on Bibsonomy WCAE@HPCA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
32Doug Burger, Todd M. Austin The SimpleScalar tool set, version 2.0. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
31Chun-Ting Huang Improving the Multimedia Processing of Relay Nodes in Mesh Wireless Networks. Search on Bibsonomy IIH-MSP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Relay node, SimpleScalar, Multimedia processing
26Tarek M. Taha, D. Scott Wills An Instruction Throughput Model of Superscalar Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Modeling techniques, Pipeline processors, Modeling of computer architecture
26James Donald, Margaret Martonosi An Efficient, Practical Parallelization Methodology for Multicore Architecture Simulation. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26José Manuel Colmenar, Oscar Garnica, Juan Lanchares, José Ignacio Hidalgo, Guadalupe Miñana, Sonia López Sim-async: An Architectural Simulator for Asynchronous Processor Modeling Using Distribution Functions. Search on Bibsonomy Euro-Par The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Takashi Nakada, Hiroshi Nakashima Design and Implementation of a High Speed Microprocessor Simulator BurstScalar. Search on Bibsonomy MASCOTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26André L. Sandri, Ronaldo Augusto Lara Gonçalves, João Angelo Martini SMS - Tool for Development and Performance Analysis of Parallel Applications. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Jason F. Cantin, Mark D. Hill Cache performance for selected SPEC CPU2000 benchmarks. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
13Jianwei Chen, Murali Annavaram, Michel Dubois 0001 SlackSim: a platform for parallel simulations of CMPs on CMPs. Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Mehrtash Manoochehri, Alireza Ejlali, Seyed Ghassem Miremadi Fault Tolerant and Low Energy Write-Back Heterogeneous Set Associative Cache for DSM Technologies. Search on Bibsonomy ARES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Mehrtash Manoochehri, Alireza Ejlali, Seyed Ghassem Miremadi Joint write policy and fault-tolerance mechanism selection for caches in DSM technologies: Energy-reliability trade-off. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Chung-Ming Chen, Chung-Ho Chen Configurable VLSI Architecture for Deblocking Filter in H.264/AVC. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Eriko Nurvitadhi, Jumnit Hong, Shih-Lien Lu Active Cache Emulator. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Asadollah Shahbahrami, Ben H. H. Juurlink, Stamatis Vassiliadis Versatility of extended subwords and the matrix register file. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF SIMD programming, SIMD architectures, multimedia standards
13Francisco J. Jaime, Javier Hormigo, Julio Villalba, Emilio L. Zapata New SIMD instructions set for image processing applications enhancement. Search on Bibsonomy ICIP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Jaejin Lee, Junghyun Kim, Choonki Jang, Seungkyun Kim, Bernhard Egger 0002, Kwangsub Kim, Sangyong Han FaCSim: a fast and cycle-accurate architecture simulator for embedded systems. Search on Bibsonomy LCTES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF virtual prototyping, architecture simulator, full-system simulation, simulator parallelization, cycle-accurate simulation
13Aleksandar Ilic, Frederico Pratas, Leonel Sousa Distributed Web-based Platform for Computer Architecture Simulation. Search on Bibsonomy ISPDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Jianjun Xu, Qingping Tan, Rui Shen A Novel Optimum Data Duplication Approach for Soft Error Detection. Search on Bibsonomy APSEC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Mohammed Abid Hussain, Madhu Mutyam Block remap with turnoff: A variation-tolerant cache design technique. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Nara Yang, Gilsang Yoon, Jeonghwan Lee, Intae Hwang, Cheol Hong Kim, Jong-Myon Kim Loop Detection for Energy-Aware High Performance Embedded Processors. Search on Bibsonomy APSCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Hashem Hashemi Najaf-abadi, Eric Rotenberg Configurational Workload Characterization. Search on Bibsonomy ISPASS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Giancarlo Covolo Heck, Roberto A. Hexsel The performance of pollution control victim cache for embedded systems. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF pollution control victim cache, embedded systems
13Frederico Pratas, Georgi Gaydadjiev, Mladen Berekovic, Leonel Sousa, Stefanos Kaxiras Low power microarchitecture with instruction reuse. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF loop reusing technique, reorder buffer optimization, superscalar processor, power reduction
13Xuan Guan, Yunsi Fei Reducing power consumption of embedded processors through register file partitioning and compiler support. Search on Bibsonomy ASAP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Linfeng Pan, Minyi Guo, Yanqin Yang, Meng Wang 0005, Zili Shao A State-Based Predictive Approach for Leakage Reduction of Functional Units. Search on Bibsonomy EUC (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Hongbin Sun 0001, Nanning Zheng 0001, Tong Zhang 0002 Realization of L2 Cache Defect Tolerance Using Multi-bit ECC. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13William Enck, Kevin R. B. Butler, Thomas Richardson, Patrick D. McDaniel, Adam D. Smith Defending Against Attacks on Main Memory Persistence. Search on Bibsonomy ACSAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13David H. Albonesi Mixing It Up. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF photonic integration, self-reconfigurable hardware, simulation
13Xian-He Sun, Surendra Byna, Yong Chen 0001 Server-Based Data Push Architecture for Multi-Processor Environments. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF modeling, evaluation, performance measurement, cache memory, simulation of multiple-processor system
13Partha Biswas, Nikil D. Dutt, Laura Pozzi, Paolo Ienne Introduction of Architecturally Visible Storage in Instruction Set Extensions. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Paolo Bonzini, Dilek Harmanci, Laura Pozzi A Study of Energy Saving in Customizable Processors. Search on Bibsonomy SAMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Antonio Carlos Schneider Beck, Luigi Carro Transparent acceleration of data dependent instructions for general purpose processors. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Athanasios Milidonis, Nikolaos Alachiotis 0002, Vasileios Porpodas, Haralambos Michail, Athanasios Kakarountas, Constantinos E. Goutis Interactive presentation: A decoupled architecture of processors with scratch-pad memory hierarchy. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Peng Li 0031, Dongsheng Wang 0002, Haixia Wang 0001, Meijuan Lu, Weimin Zheng LIRAC: Using Live Range Information to Optimize Memory Access. Search on Bibsonomy ARCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF LIRAC, Live Range, Cache, Memory Hierarchy, Write Buffer
13Juan Chen 0001, Yong Dong, Huizhan Yi, Xuejun Yang Power-Aware Software Prefetching. Search on Bibsonomy ICESS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Masahiro Yano, Toru Takasaki, Takashi Nakada, Hiroshi Nakashima An Accurate and Efficient Time-Division Parallelization of Cycle Accurate Architectural Simulators. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Mohsen Soryani, Mohsen Sharifi, Mohammad Hossein Rezvani Performance Evaluation of Cache Memory Organizations in Embedded Systems. Search on Bibsonomy ITNG The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Konrad Malkowski, Greg M. Link, Padma Raghavan, Mary Jane Irwin Load Miss Prediction - Exploiting Power Performance Trade-offs. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Liang-Kai Wang, Charles Tsen, Michael J. Schulte, Divya Jhalani Benchmarks and performance analysis of decimal floating-point applications. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Koustav Bhattacharya, Soontae Kim, Nagarajan Ranganathan Improving the reliability of on-chip L2 cache using redundancy. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Swaroop Ghosh, Patrick Ndai, Swarup Bhunia, Kaushik Roy 0001 Tolerance to Small Delay Defects by Adaptive Clock Stretching. Search on Bibsonomy IOLTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Paolo Bonzini, Laura Pozzi A Retargetable Framework for Automated Discovery of Custom Instructions. Search on Bibsonomy ASAP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Jude Angelo Ambrose, Roshan G. Ragel, Sri Parameswaran RIJID: Random Code Injection to Mask Power Analysis based Side Channel Attacks. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13JoAnn M. Paul, Donald E. Thomas, Alex Bobrek Scenario-oriented design for single-chip heterogeneous multiprocessors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Asadollah Shahbahrami, Ben H. H. Juurlink, Demid Borodin, Stamatis Vassiliadis Avoiding Conversion and Rearrangement Overhead in SIMD Architectures. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Embedded media processors, multimedia kernels, register file, subword parallelism
13Zili Shao, Chun Xue, Qingfeng Zhuge, Mei Kang Qiu, Bin Xiao 0001, Edwin Hsing-Mean Sha Security Protection and Checking for Embedded System Integration against Buffer Overflow Attacks via Hardware/Software. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF hardware/software, Security, embedded system, protection, buffer overflow attack
13Dmitry V. Ponomarev, Gurhan Kucuk, Kanad Ghose Dynamic Resizing of Superscalar Datapath Components for Energy Efficiency. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF energy-efficient datapath, Superscalar processor, power reduction, dynamic instruction scheduling
13Mehrdad Reshadi, Bita Gorjiara, Nikil D. Dutt Generic Processor Modeling for Automatically Generating Very Fast Cycle-Accurate Simulators. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Michael Penner, Viktor K. Prasanna Cache-Friendly implementations of transitive closure. Search on Bibsonomy ACM J. Exp. Algorithmics The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Floyd-Warshall algorithm, systolic array algorithms, Data structures
13Gokhan Memik, William H. Mangione-Smith Evaluating Network Processors using NetBench. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Embedded systems, benchmarking, network processors
13Kashif Ali, Mokhtar Aboelaze, Suprakash Datta Modified Hotspot Cache Architecture: A Low Energy Fast Cache for Embedded Processors. Search on Bibsonomy ICSAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Zenaide Carvalho da Silva, João Angelo Martini, Ronaldo Augusto Lara Gonçalves Extending the PPM Branch Predictor. Search on Bibsonomy PDP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Antonio Carlos Schneider Beck, Victor F. Gomes, Luigi Carro Automatic Dataflow Execution with Reconfiguration and Dynamic Instruction Merging. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Jumnit Hong, Eriko Nurvitadhi, Shih-Lien Lu Design, implementation, and verification of active cache emulator (ACE). Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FPGA-based emulator, real-time emulation, cache modeling
13Hossein Asadi 0001, Vilas Sridharan, Mehdi Baradaran Tahoori, David R. Kaeli Vulnerability analysis of L2 cache elements to single event upsets. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Partha Biswas, Nikil D. Dutt, Paolo Ienne, Laura Pozzi Automatic identification of application-specific functional units with architecturally visible storage. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13José Manuel Colmenar, Oscar Garnica, Juan Lanchares, José Ignacio Hidalgo, Guadalupe Miñana, Sonia López Comparing the Performance of a 64-bit Fully-Asynchronous Superscalar Processor versus its Synchronous Counterpart. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Ali R. Iranpour, Krzysztof Kuchcinski Performance Improvement for H.264 Video Encoding using ILP Embedded Processor. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Takashi Nakada, Tomoaki Tsumura, Hiroshi Nakashima Design and Implementation of aWorkload Specific Simulator. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Konrad Malkowski, Ingyu Lee, Padma Raghavan, Mary Jane Irwin On improving performance and energy profiles of sparse scientific applications. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Konrad Malkowski, Ingyu Lee, Padma Raghavan, Mary Jane Irwin Conjugate gradient sparse solvers: performance-power characteristics. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Wonbok Lee, Kimish Patel, Massoud Pedram B2Sim: : a fast micro-architecture simulator based on basic block characterization. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF basic block, micro-architecture simulation, program behavior
13Antonio Carlos Schneider Beck, Victor F. Gomes, Luigi Carro Dynamic Instruction Merging and a Reconfigurable Array: Dataflow Execution with Software Compatibility. Search on Bibsonomy ARC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Peng Li 0031, Dongsheng Wang 0002, Songliu Guo, Tao Tian, Weimin Zheng Live Range Aware Cache Architecture. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Live Range, Cache, Memory Hierarchy
13Paolo Bonzini, Laura Pozzi Code transformation strategies for extensible embedded processors. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF compilers, ASIPs, instruction-set extensions, customizable processors
13Hiroshi Nakashima, Masahiro Konishi, Takashi Nakada An accurate and efficient simulation-based analysis for worst case interruption delay. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF worst case interruption delay, cycle accurate simulation
13Asadollah Shahbahrami, Ben H. H. Juurlink, Stamatis Vassiliadis Accelerating Color Space Conversion Using Extended Subwords and the Matrix Register File. Search on Bibsonomy ISM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Color space conversion, SIMD architectures, multimedia extensions
13Konrad Malkowski, Padma Raghavan, Mary Jane Irwin Poster reception - Toward a power efficient computer architecture for Barnes-Hut N-body simulations. Search on Bibsonomy SC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Jingfei Kong, Cliff Changchun Zou, Huiyang Zhou Improving software security via runtime instruction-level taint checking. Search on Bibsonomy ASID The full citation details ... 2006 DBLP  DOI  BibTeX  RDF format string, hardware tagging, buffer overflow
13Ying Chen, Karthik Ranganathan, Vasudev V. Pai, David J. Lilja, Kia Bazargan A Novel Memory Structure for Embedded Systems: Flexible Sequential and Random Access Memory. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF sequential access buffer, media benchmark, flexible sequential and random access memory, on-chip memory
13Joshua J. Yi, David J. Lilja, Douglas M. Hawkins Improving Computer Architecture Simulation Methodology by Adding Statistical Rigor. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF simulation output analysis, measurement techniques, Performance analysis and design aids
13Shuo Chen 0001, Jun Xu 0003, Nithin Nakka, Zbigniew Kalbarczyk, Ravishankar K. Iyer Defeating Memory Corruption Attacks via Pointer Taintedness Detection. Search on Bibsonomy DSN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Cheol Hong Kim, Sung-Hoon Shim, Jong Wook Kwak, Sung Woo Chung, Chu Shik Jhon First-Level Instruction Cache Design for Reducing Dynamic Energy Consumption. Search on Bibsonomy SAMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Meuse N. Oliveira Jr., Paulo Romero Martins Maciel, Ricardo Massa Ferreira Lima, Angelo Ribeiro, César A. L. de Oliveira, Adilson Arcoverde, Raimundo S. Barreto, Eduardo Tavares, Leonardo Amorim A Retargetable Environment for Power-Aware Code Evaluation: An Approach Based on Coloured Petri Net. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Mehrdad Reshadi, Nikil D. Dutt Generic Pipelined Processor Modeling and High Performance Cycle-Accurate Simulator Generation. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Divya Arora, Srivaths Ravi 0001, Anand Raghunathan, Niraj K. Jha Secure Embedded Processing through Hardware-Assisted Run-Time Monitoring. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Mehrdad Reshadi, Prabhat Mishra 0001 Memory access optimizations in instruction-set simulators. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF memory address-space mapping, instruction-set simulator
13Divya Arora, Anand Raghunathan, Srivaths Ravi 0001, Niraj K. Jha Enhancing security through hardware-assisted run-time validation of program data properties. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF data tagging, secure architectures, run-time checks
13Trevor N. Mudge Performance and power analysis of computer systems. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Zhiqiang Ma, Zhenzhou Ji, Mingzeng Hu, Yi Ji Energy Efficient United L2 Cache Design with Instruction/Data Filter Scheme. Search on Bibsonomy APPT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Cheol Kim, Sung Chung, Chu Shik Jhon An Innovative Instruction Cache for Embedded Processors. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Jorgen Peddersen, Seng Lin Shee, Andhi Janapsatya, Sri Parameswaran Rapid Embedded Hardware/Software System Generation. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Qing Zhao, David J. Lilja Static Classification of Value Predictability Using Compiler Hints. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 154 (100 per page; Change: )
Pages: [1][2][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license