The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for UltraSPARC with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1995-1996 (17) 1997-1999 (23) 2000-2001 (17) 2002-2004 (21) 2005-2006 (18) 2007-2008 (17) 2009-2013 (13)
Publication types (Num. hits)
article(23) inproceedings(103)
Venues (Conferences, Journals, ...)
IPDPS(9) ITC(7) COMPCON(6) DAC(6) ICCD(4) IEEE Micro(4) HiPC(3) MICRO(3) CICC(2) Euro-Par(2) FPGA(2) HPCN(2) ICCAD(2) ICPP(2) ICS(2) IEEE Des. Test Comput.(2) More (+10 of total 78)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 120 occurrences of 105 keywords

Results
Found 126 publication records. Showing 126 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
82Martin Sandrieser, Sabri Pllana, Siegfried Benkner Evaluation of the SUN UltraSparc T2+ Processor for Computational Science. Search on Bibsonomy ICCS (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Sun UltraSparc T2+, Niagara2, Evaluation, Computational Science
82Robert Yung Design Decisions Influencing the UltraSPARC's Instruction Fetch Architecture. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF UltraSPARC, fast cycle time, in-cache prediction, instruction fetch architecture, instruction fetch unit, lower cycle-per-instruction, predictive set-associative cache, prefetch and dispatch unit, trade-off decisions, computer architecture, microprocessor
72Spiros Kalogeropulos An Enhanced Trace Scheduler for SPARC Processors. Search on Bibsonomy Euro-Par The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
67Alexander Dalal, Lavi Lev, Sundari Mitra Design of an efficient power distribution network for the UltraSPARC-I microprocessor. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF computer power supplies, UltraSPARC-I, simulation method, exact layout locations, excessive voltage drop, floorplanning constraints, power interconnections, reduced time-to-market, circuit analysis computing, circuit layout CAD, microprocessor chips, electromigration, CAD tools, power distribution network
67Guillermo Maturana, James L. Ball, Jeffery Gee, Amaresh Iyer, J. Michael O'Connor Incas: a cycle accurate model of UltraSPARC. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF cycle accurate model, UltraSPARC, Incas, message-passing mechanism, simulating concurrent modules, performance evaluation, C++, virtual machines, logic testing, microprocessor chips, performance estimates, diagnostics, tuning, RTL simulations, processor verification
56Vladimir Cakarevic, Petar Radojkovic, Javier Verdú, Alex Pajuelo, Francisco J. Cazorla, Mario Nemirovsky, Mateo Valero Characterizing the resource-sharing levels in the UltraSPARC T2 processor. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Sun Nigara T2, CMP, job scheduling, simultaneous multithreading, performance characterization, CMT
56Jiwei Lu, Abhinav Das, Wei-Chung Hsu, Khoa Nguyen, Santosh G. Abraham Dynamic Helper Threaded Prefetching on the Sun UltraSPARC CMP Processor. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
56Rita Yu Chen, Paul Yip, Georgios K. Konstadinidis, Andrew Demas, Fabian Klass, Robert E. Mains, Margaret Schmitt, Dina Bistry Timing Window Applications in UltraSPARC-IIIi? Microprocessor Design. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
55Petar Radojkovic, Vladimir Cakarevic, Javier Verdú, Alex Pajuelo, Francisco J. Cazorla, Mario Nemirovsky, Mateo Valero Thread to strand binding of parallel network applications in massive multi-threaded systems. Search on Bibsonomy PPoPP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF ultrasparc t2, simultaneous multithreading, process scheduling, cmt
53Toshinari Takayanagi, Jinuk Luke Shin, Bruce Petrick, Jeffrey Y. Su, Ana Sonia Leon A dual-core 64b ultraSPARC microprocessor for dense server applications. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF L2, UltraSPARC, coupling noise, deep submicron technology, dense server, dual-core, throughput computing, cache, multiprocessor, leakage, NBTI, negative bias temperature instability
43Jun Shirako, David M. Peixotto, Vivek Sarkar, William N. Scherer III Phaser accumulators: A new reduction construct for dynamic parallelism. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
43Myungho Lee, Brian Whitney, Nawal Copty Performance and Scalability of OpenMP Programs on the Sun FireTM E25K Throughput Computing Server. Search on Bibsonomy WOMPAT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
41Anjali Kinra, Aswin Mehta, Neal Smith, Jackie Mitchell, Fred Valente Diagnostic techniques for the UltraSPARC microprocessors. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
41Marc E. Levitt Designing UltraSparc for Testability. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
41Andrew Over, Bill Clarke, Peter E. Strazdins A Comparison of Two Approaches to Parallel Simulation of Multiprocessors. Search on Bibsonomy ISPASS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF speedup analysis, Sparc Sulima, UltraSPARC IIICu-based multiprocessor systems, careful locking, simulation time quantum, serial simulation, load-balancing, parallel simulation, parallel discrete event simulation, interconnect model, NAS parallel benchmarks
29Miquel Moretó, Francisco J. Cazorla, Alex Ramírez, Rizos Sakellariou, Mateo Valero FlexDCP: a QoS framework for CMP architectures. Search on Bibsonomy ACM SIGOPS Oper. Syst. Rev. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
29Shrenik Mehta, Dwayne Lee Industry perspective on chip multi-threading, bridging the gap with academia using OpenSPARC. Search on Bibsonomy WCAE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Yonghong Song, Spiros Kalogeropulos, Partha Tirumalai Design and Implementation of a Compiler Framework for Helper Threading on Multi-core Processors. Search on Bibsonomy IEEE PACT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Spiros Kalogeropulos, Mahadevan Rajagopalan, Vikram Rao, Yonghong Song, Partha Tirumalai Processor Aware Anticipatory Prefetching in Loops. Search on Bibsonomy HPCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Kazuhisa Ishizaka, Takamichi Miyamoto, Jun Shirako, Motoki Obata, Keiji Kimura, Hironori Kasahara Performance of OSCAR Multigrain Parallelizing Compiler on SMP Servers. Search on Bibsonomy LCPC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Neungsoo Park, Bo Hong, Viktor K. Prasanna Tiling, Block Data Layout, and Memory Hierarchy Performance. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Block data layout, TLB misses, memory hierarchy, tiling, cache misses
29Victor Melamed, Harry Stuimer, David Wilkins, Lawrence Chang, Kevin Normoyle, Sutikshan Bhutani Innovative Verification Techniques Used in the Implementation of a Third-Generation 1.1GHz 64b Microprocessor. Search on Bibsonomy FORTE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
29Anssi Huttunen, Irek Defée Performance of desktop software MPEG-2 TS decoder. Search on Bibsonomy ISCAS (4) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
27Yu Wang, Linda Wu, Jing Guo Multi-Grain Parallel Accelerate System for H.264 Encoder on ULTRASPARC T2. Search on Bibsonomy J. Comput. The full citation details ... 2013 DBLP  BibTeX  RDF
27Azzurra Pulimeno, Mariagrazia Graziano, Gianluca Piccinini UDSM Trends Comparison: From Technology Roadmap to UltraSparc Niagara2. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
27Lawrence Spracklen Sun's 3rd generation on-chip UltraSPARC security accelerator. Search on Bibsonomy Hot Chips Symposium The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Thomas A. Ziaja, Poh J. Tan Efficient Array Characterization in the UltraSPARC T2. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Georg Hager, Thomas Zeiser, Gerhard Wellein Data Access Characteristics and Optimizations for Sun UltraSPARC T2 and T2+ Systems. Search on Bibsonomy Parallel Process. Lett. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Ishwar Parulkar, Sriram Anandakumar, Gaurav Agarwal, Gordon Liu, Krishna Rajan, Frank Chiu, Rajesh Pendurkar DFX of a 3rd Generation, 16-core/32-thread UltraSPARC- CMT Microprocessor. Search on Bibsonomy ITC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Liang-Chi Chen, Paul Dickinson, Prasad Mantri, Murali M. R. Gala, Peter Dahlgren, Subhra Bhattacharya, Olivier Caty, Kevin Woodling, Thomas A. Ziaja, David Curwen, Wendy Yee, Ellen Su, Guixiang Gu, Tim Nguyen Transition Test on UltraSPARC- T2 Microprocessor. Search on Bibsonomy ITC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Peter E. Strazdins, Bill Clarke, Andrew Over Efficient Cycle-Accurate Simulation of the Ultrasparc III CPU. Search on Bibsonomy ACSC The full citation details ... 2007 DBLP  BibTeX  RDF
27Joseph Antony, Pete P. Janes, Alistair P. Rendell Exploring Thread and Memory Placement on NUMA Architectures: Solaris and Linux, UltraSPARC/FirePlane and Opteron/HyperTransport. Search on Bibsonomy HiPC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Ana Sonia Leon, Brian Langley, Jinuk Luke Shin The UltraSPARC T1 Processor: CMT Reliability. Search on Bibsonomy CICC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27P. J. Tan, Tung Le, Keng-Hian Ng, Prasad Mantri, James Westfall Testing of UltraSPARC T1 Microprocessor and its Challenges. Search on Bibsonomy ITC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Toshinari Takayanagi, Jinuk Luke Shin, Bruce Petrick, Jeffrey Y. Su, Howard Levy, Ha Pham, Jinseung Son, Nathan Moon, Dina Bistry, Umesh Nair, Mandeep Singh, Vikas Mathur, Ana Sonia Leon A dual-core 64-bit ultraSPARC microprocessor for dense server applications. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Adam Czezowski, Peter Christen How Fast Is -Fast? Performance Analysis of KDD Applications Using Hardware Performance Counters on UltraSPARC-III. Search on Bibsonomy AusDM The full citation details ... 2002 DBLP  BibTeX  RDF
27Hee-Tae Ahn, David J. Allstot A low-jitter 1.9-V CMOS PLL for UltraSPARC microprocessor applications. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
27Farideh Golshan Test and on-line debug capabilities of IEEE Std 1149.1 in UltraSPARC-III microprocessor. Search on Bibsonomy ITC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
27Tim Horel, Gary Lauterbach UltraSPARC-III: designing third-generation 64-bit performance. Search on Bibsonomy IEEE Micro The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
27Hee-Tae Ahn A ±25 ps jitter 1.9 V CMOS PLL for UltraSPARC microprocessor. Search on Bibsonomy CICC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
27Anjali Kinra Towards reducing "functional only" fails for the UltraSPARC microprocessors. Search on Bibsonomy ITC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
27Kevin Normoyle, Michael A. Csoppenszky, Allan Tzeng, Timothy P. Johnson, Christopher D. Furman, Jamshid Mostoufi UltraSPARC-II/: expanding the boundaries of a system on a chip. Search on Bibsonomy IEEE Micro The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
27Ramesh Radhakrishnan, Lizy Kurian John Execution characteristics of object oriented programs on the UltraSPARC-II. Search on Bibsonomy HiPC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
27Knut Omang Performance of a Cluster of PCI Based UltraSparc Workstations Interconnected with SCI. Search on Bibsonomy CANPC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
27Marc Tremblay, J. Michael O'Connor UltraSparc I: a four-issue processor supporting multimedia. Search on Bibsonomy IEEE Micro The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
27Zhi-Jian (Alex) Mou, Daniel Rice, Wei Ding VIS-based native video processing on UltraSPARC. Search on Bibsonomy ICIP (2) The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
27Gary Goldman, Partha Tirumalai UltraSPARC-II: The Advancement of UltraComputing. Search on Bibsonomy COMPCON The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
27Partha Tirumalai, Dale Greenley, Boris Beylin, Krishna Subramanian 0003 UltraSPARC: Compiling for Maximum Floating-Point Performance. Search on Bibsonomy COMPCON The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
27Marc Tremblay, Dale Greenley, Kevin Normoyle The design of the microarchitecture of UltraSPARC-I. Search on Bibsonomy Proc. IEEE The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
27Chang-Guo Zhou, Leslie Kohn, Daniel Rice, Ihtisham Kabir, Aman Jabbi, Xiao-Ping Hu MPEG Video Decoding with the UltraSPARC Visual Instruction Set. Search on Bibsonomy COMPCON The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
27Dale Greenley, J. Bauman, D. Chang, Dennis Chen, R. Eltejaein, Philip A. Ferolito, P. Fu, Robert B. Garner, D. Greenhill, H. Grewal, Kalon Holdbrook, B. Kim, Leslie Kohn, Hang Kwan, M. Levitt, Guillermo Maturana, D. Mrazek, Chitresh Narasimhaiah, Kevin Normoyle, N. Parveen, P. Patel, A. Prabhu, Marc Tremblay, Michelle Wong, L. Yang, Krishna Yarlagadda, Robert K. Yu, Robert Yung, Gregory B. Zyner UltraSPARC: The Next Generation Superscalar 64-bit SPARC. Search on Bibsonomy COMPCON The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
27Leslie Kohn, Guillermo Maturana, Marc Tremblay, A. Prabhu, Gregory B. Zyner The Visual Instruction Set (VIS) in UltraSPARC. Search on Bibsonomy COMPCON The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
27S. Mehta, S. Ahmed, S. Al-Ashari, Dennis Chen, Dev Chen, S. Cokmez, R. Eltejaein, P. Fu, Jeffery Gee, T. Granvold, Amaresh Iyer, A. K. Lin, Guillermo Maturana, D. McConn, H. Mohammed, Jamshid Mostoufi, A. Moudgal, Srinivas Nori, Gary Peterson, M. Splain, T. Yu Verification of the UltraSPARC Microprocessor. Search on Bibsonomy COMPCON The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
27James Gateley, Miriam Blatt, Dennis Chen, Scott Cooke, Piyush Desai, Manjunath Doreswamy, Mark Elgood, Gary Feierbach, Tim Goldsbury, Dale Greenley, Raju Joshi, Mike Khosraviani, Robert Kwong, Manish Motwani, Chitresh Narasimhaiah, Sam J. Nicolino Jr., Tooru Ozeki, Gary Peterson, Chris Salzmann, Nasser Shayesteh, Jeffrey Whitman, Pak Wong UltraSPARC-I Emulation. Search on Bibsonomy DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
27Lawrence Yang, David Gao 0001, Jamshid Mostoufi, Raju Joshi, Paul Loewenstein System Design Methodology of UltraSPARC-I. Search on Bibsonomy DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
27A. Cao, A. Adalal, J. Bauman, P. Delisle, P. Dedood, P. Donehue, M. Dell'OcaKhouja, T. Doan, Manjunath Doreswamy, Philip A. Ferolito, O. Geva, D. Greenhill, S. Gopaladhine, J. Irwin, L. Lev, J. MacDonald, M. Ma, Samir Mitra, P. Patel, A. Prabhu, R. Puranik, S. Rozanski, N. Ross, P. Saggurti, Slobodan Simovich, R. Sunder, B. Sur, W. Vercruysse, Michelle Wong, P. Yip, Robert K. Yu, J. Zhou, Gregory B. Zyner CAD Methodology for the Design of UltraSPARC-I Microprocessor at Sun Microsystems Inc. Search on Bibsonomy DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
27Marc Tremblay, Guillermo Maturana, Atsushi Inoue, Leslie Kohn A Fast and Flexible Performance Simulator for Micro-Architecture Trade-off Analysis on UltraSPARC-I. Search on Bibsonomy DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
26Ruken Zilan, Javier Verdú, Jorge García-Vidal, Mario Nemirovsky, Rodolfo A. Milito, Mateo Valero An Abstraction Methodology for the Evaluation of Multi-core Multi-threaded Architectures. Search on Bibsonomy MASCOTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Fine grain modeling, a methodology to build simulators, a simulation tool for multi�??levels of shared resource architecture modeling, UltraSPARC T2, queueing modeling
14Eric S. Chung, Michael Papamichael, Eriko Nurvitadhi, James C. Hoe, Ken Mai, Babak Falsafi ProtoFlex: Towards Scalable, Full-System Multiprocessor Simulations Using FPGAs. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF simulator, FPGA, prototype, multiprocessor, multicore, emulator
14Kamesh Madduri, David A. Bader Compact graph representations and parallel connectivity algorithms for massive dynamic network analysis. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Jun Shirako, Jisheng M. Zhao, V. Krishna Nandivada, Vivek Sarkar Chunking parallel loops in the presence of synchronization. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF loop chunking, phasers, exceptions
14Eric S. Chung, Eriko Nurvitadhi, James C. Hoe, Babak Falsafi, Ken Mai A complexity-effective architecture for accelerating full-system multiprocessor simulations using FPGAs. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF simulator, FPGA, prototype, multiprocessor, multicore, emulator
14Sabri Pllana, Siegfried Benkner, Eduard Mehofer, Lasse Natvig, Fatos Xhafa Towards an Intelligent Environment for Programming Multi-core Computing Systems. Search on Bibsonomy Euro-Par Workshops The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Georg Hager, Thomas Zeiser, Gerhard Wellein Data access optimizations for highly threaded multi-core CPUs with multiple memory controllers. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Ayse Kivilcim Coskun, Tajana Simunic Rosing, Kenny C. Gross Proactive temperature balancing for low cost thermal management in MPSoCs. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Jiaqi Zhang, Zhiyi Huang 0001, Wenguang Chen, Qihang Huang, Weimin Zheng Maotai: View-Oriented Parallel Programming on CMT Processors. Search on Bibsonomy ICPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Daniel Chen 0001, Gabriela Jacques-Silva, Zbigniew Kalbarczyk, Ravishankar K. Iyer, Bruce G. Mealey Error Behavior Comparison of Multiple Computing Systems: A Case Study Using Linux on Pentium, Solaris on SPARC, and AIX on POWER. Search on Bibsonomy PRDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Tien-Hsiung Weng, Ruey-Kuen Perng, Barbara M. Chapman OpenMP Implementation of SPICE3 Circuit Simulator. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF OpenMP SPICE circuit simulator, Shared-memory programming model
14David A. Bader, Kamesh Madduri A Graph-Theoretic Analysis of the Human Protein-Interaction Network Using Multicore Parallel Algorithms. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Eric S. Chung, Eriko Nurvitadhi, James C. Hoe, Babak Falsafi, Ken Mai PROToFLEX: FPGA-accelerated Hybrid Functional Simulator. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Partha Tirumalai, Yonghong Song, Spiros Kalogeropulos Performance Evaluation of Evolutionary Multi-core and Aggressively Multi-threaded Processor Architectures. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Paul A. Karger Performance and security lessons learned from virtualizing the alpha processor. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF virtualizability, security, virtual machine monitors, hypervisors
14Jacqueline Chame, Chun Chen 0002, Pedro C. Diniz, Mary W. Hall, Yoon-Ju Lee, Robert F. Lucas An overview of the ECO project. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Dan Wallin, Henrik Löf, Erik Hagersten, Sverker Holmgren Multigrid and Gauss-Seidel smoothers revisited: parallelization on chip multiprocessors. Search on Bibsonomy ICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Gauss-Seidel, temporal blocking, CMP, OpenMP, relaxation, orderings, multigrid, Poisson equation, cache blocking
14Wenduo Zhou, David K. Lowenthal A Parallel, Out-of-Core Algorithm for RNA Secondary Structure Prediction. Search on Bibsonomy ICPP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Joseph Bonneau, Ilya Mironov Cache-Collision Timing Attacks Against AES. Search on Bibsonomy CHES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF cache, cryptanalysis, AES, side-channel attack, timing attack
14Jason Hiser, Daniel W. Williams, Adrian Filipi, Jack W. Davidson, Bruce R. Childers Evaluating fragment construction policies for SDT systems. Search on Bibsonomy VEE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF dynamic translation performance, software dynamic translator, performance, low overhead
14Paul Fearnhead Direct simulation for discrete mixture distributions. Search on Bibsonomy Stat. Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Bayesian model choice, binomial mixture, genetic linkage, Markov-dependent mixtures, Poisson mixture, particle filters, Forward-Backward algorithm
14Paul C. van Oorschot, Anil Somayaji, Glenn Wurster Hardware-Assisted Circumvention of Self-Hashing Software Tamper Resistance. Search on Bibsonomy IEEE Trans. Dependable Secur. Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF self-hashing, operating system kernels, software protection, Tamper resistance, checksumming, application security, processor design
14Andrew Over, Peter E. Strazdins, Bill Clarke Cycle Accurate Memory Modelling: A Case-Study in Validation. Search on Bibsonomy MASCOTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Chun Chen 0002, Jacqueline Chame, Mary W. Hall Combining Models and Guided Empirical Search to Optimize for Multiple Levels of the Memory Hierarchy. Search on Bibsonomy CGO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Prasad Jayanti, Srdjan Petrovic Efficiently Implementing a Large Number of LL/SC Objects. Search on Bibsonomy OPODIS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Glenn Wurster, Paul C. van Oorschot, Anil Somayaji A Generic Attack on Checksumming-Based Software Tamper Resistance. Search on Bibsonomy S&P The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Prasad Jayanti, Srdjan Petrovic Efficiently Implementing LL/SC Objects Shared by an Unknown Number of Processes. Search on Bibsonomy IWDC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14ManMohan S. Sodhi, Stephen Norris A Flexible, Fast, and Optimal Modeling Approach Applied to Crew Rostering at London Underground. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF crew rostering, rota, cyclic graph, aggregation, decomposition, mixed-integer linear programming
14Joon-Sang Park, Michael Penner, Viktor K. Prasanna Optimizing Graph Algorithms for Improved Cache Performance. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Cache-friendly algorithms, shortest path, graph algorithms, minimum spanning trees, graph matching, algorithm performance, cache-oblivious algorithms, data layout optimizations
14Michael Krietemeyer, Daniel Versick, Djamshid Tavangarian A Mathematical Model for the Transitional Region Between Cache Hierarchy Levels. Search on Bibsonomy IICS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Rodric M. Rabbah, Krishna V. Palem Data remapping for design space optimization of embedded memory systems. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF data remapping, embedded systems, caches, memory hierarchy, Design space exploration, compiler optimization, memory subsystem
14José Carlos Rodríguez-Rodríguez, Alexis Quesada-Arencibia, Roberto Moreno-Díaz Jr., K. Nicholas Leibovic On Parallel Channel Modeling of Retinal Processes. Search on Bibsonomy EUROCAST The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Alan E. Charlesworth The Sun Fireplane Interconnect. Search on Bibsonomy IEEE Micro The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Daisuke Takahashi A Blocking Algorithm for Parallel 1-D FFT on Shared-Memory Parallel Computers. Search on Bibsonomy PARA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Toshio Endo, Kenjiro Taura Reducing pause time of conservative collectors. Search on Bibsonomy MSP/ISMM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF memory management, concurrent garbage collection, parallel garbage collection, conservative garbage collection
14Ali Akoglu, Aravind Dasu, Arvind Sudarsanam, Mayur Srinivasan, Sethuraman Panchanathan Pattern Recognition Tool to Detect Reconfigurable Patterns in MPEG4 Video Processing. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF reconfigurable media processor, recurring pattern analyzer, mobile multimedia processing, partition, dynamic reconfiguration, reconfigurable architectures, data flow graph, control flow graph, MPEG4, hardware software co-design, hardware software partitioning, routing architecture
14Joon-Sang Park, Michael Penner, Viktor K. Prasanna Optimizing Graph Algorithms for Improved Cache Performance. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Hiran Tennakoon, Carl Sechen Gate sizing using Lagrangian relaxation combined with a fast gradient-based pre-processing step. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Ishwar Parulkar, Thomas A. Ziaja, Rajesh Pendurkar, Anand D'Souza, Amitava Majumdar 0002 A Scalable, Low Cost Design-for-Test Architecture for UltraSPARCTM Chip Multi-Processors. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14C.-J. Richard Shi, Sheldon X.-D. Tan Compact representation and efficient generation of s-expandedsymbolic network functions for computer-aided analog circuit design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Ismail Kadayif, T. Chinoda, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, Anand Sivasubramaniam vEC: virtual energy counters. Search on Bibsonomy PASTE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF system energy consumption, optimizations, embedded systems, signal processing, hardware performance counters
14Daisuke Takahashi A Blocking Algorithm for FFT on Cache-Based Processors. Search on Bibsonomy HPCN The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Perry Cheng, Guy E. Blelloch A Parallel, Real-Time Garbage Collector. Search on Bibsonomy PLDI The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 126 (100 per page; Change: )
Pages: [1][2][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license