The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for XScale with no syntactic query expansion in all metadata.

Publication years (Num. hits)
2002-2004 (23) 2005 (18) 2006 (17) 2007-2008 (25) 2009-2024 (8)
Publication types (Num. hits)
article(21) incollection(2) inproceedings(67) phdthesis(1)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 132 occurrences of 92 keywords

Results
Found 91 publication records. Showing 91 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
89Gilberto Contreras, Margaret Martonosi, Jinzhan Peng, Roy Ju, Guei-Yuan Lueh XTREM: a power simulator for the Intel XScale® core. Search on Bibsonomy LCTES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF XORP, XScale, Java, power modeling, hardware performance counters, power measurements
74Gilberto Contreras, Margaret Martonosi, Jinzhang Peng, Guei-Yuan Lueh, Roy Ju The XTREM power and performance simulator for the Intel XScale core: Design and experiences. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Intel XScale technology, Java, Power modeling, power measurements
60Sudarshan K. Srinivasan, Miroslav N. Velev Formal Verification of an Intel XScale Processor Model with Scoreboarding, Specialized Execution Pipelines, and Impress Data-Memory Exceptions. Search on Bibsonomy MEMOCODE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
60Gilberto Contreras, Margaret Martonosi Power prediction for intel XScale processors using performance monitoring unit events. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF XScale, power estimation, power modeling, hardware performance counters
45Ankush Varma, Eric Debes, Igor Kozintsev, Paul Klein, Bruce L. Jacob Accurate and fast system-level power modeling: An XScale-based case study. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF embedded systems, SystemC, Power modeling
45Seongje Cho, Hyeyoung Chang, Yookun Cho Implementation of an Obfuscation Tool for C/C++ Source Code Protection on the XScale Architecture. Search on Bibsonomy SEUS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Source Code Protection, Reverse Engineering, Obfuscation
45Ankush Varma, Bruce L. Jacob, Eric Debes, Igor Kozintsev, Paul Klein Accurate and fast system-level power modeling: An XScale-based case study. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF embedded systems, SystemC, Power modeling
45Zoltán Herczeg, Ákos Kiss 0001, Daniel Schmidt 0001, Norbert Wehn, Tibor Gyimóthy XEEMU: An Improved XScale Power Simulator. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
45Paolo D'Alberto, Markus Püschel, Franz Franchetti Performance/Energy Optimization of DSP Transforms on the XScale Processor. Search on Bibsonomy HiPEAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
45 Intel® XScale® Micro-Architecture. Search on Bibsonomy Encyclopedia of Multimedia The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
45Hung-Ching Chang, Chun-Chin Chen, Chih-Feng Lin XScale Hardware Acceleration on Cryptographic Algorithms for IPSec Applications. Search on Bibsonomy ITCC (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
45Panagiotis Manolios, Sudarshan K. Srinivasan Automatic Verification of Safety and Liveness for XScale-Like Processor Models Using WEB Refinements. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Guangyu Wang, Jinzhi Zhang, Fan Wang, Ruqi Huang, Lu Fang XScale-NVS: Cross-Scale Novel View Synthesis with Hash Featurized Manifold. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
30Guangyan Zhang, Guiyong Wu, Yu Lu, Jie Wu 0001, Weimin Zheng Xscale: Online X-Code RAID-6 Scaling Using Lightweight Data Reorganization. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
30Zoltán Herczeg, Daniel Schmidt 0001, Ákos Kiss 0001, Norbert Wehn, Tibor Gyimóthy Energy simulation of embedded XScale systems with XEEMU. Search on Bibsonomy J. Embed. Comput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
30 Intel® XScale® Micro-Architecture. Search on Bibsonomy Encyclopedia of Multimedia The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Xiaohua Shi, Maozhong Jin, Bu Qi Cheng, Peng Guo Design a High-Performance Just-In-Time Compiler for a J2ME JVM on XScale. Search on Bibsonomy ICESS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Haiping Wu, Eunjung Park, Long Chen 0020, Juan del Cuvillo, Guang R. Gao User-Friendly Methodology for Automatic Exploration of Compiler Options: A Case Study on the Intel XScale Microarchitecture. Search on Bibsonomy Software Engineering Research and Practice The full citation details ... 2006 DBLP  BibTeX  RDF
30Paulo Henrique Tavares Estudo e implementação de algoritmos de resumo (hash) criptografico na plataforma Intel 'MARCA REGISTRADA' XScale. Search on Bibsonomy 2006   RDF
30Chantal Ykman-Couvreur, Francky Catthoor, Johan Vounckx, Andy Folens, Filip Louagie Energy-aware Dynamic Task Scheduling Applied to a Real-time Multimedia Application on an Xscale Board. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Jason Domer, Murthi Nanja, Suresh Srinivas, Bhaktha Keshavachar Comparative performance analysis of mobile runtimes on Intel XScale® technology. Search on Bibsonomy IVME The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Daniel Schmidt 0001, Norbert Wehn DRAM power management and energy consumption: a critical assessment. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF modelling, measurement, power management, SDRAM
30Edmund B. Nightingale, Orion Hodson, Ross McIlroy, Chris Hawblitzel, Galen C. Hunt Helios: heterogeneous multiprocessing with satellite kernels. Search on Bibsonomy SOSP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF operating systems, heterogeneous computing
30Sandro Bartolini, Irina Branovic, Roberto Giorgi, Enrico Martinelli Effects of Instruction-Set Extensions on an Embedded Processor: A Case Study on Elliptic Curve Cryptography over GF(2m). Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Microprocessor/microcomputer applications, Performance Evaluation, Cryptography, Elliptic curves, Public key cryptosystems, Processor Architectures, Pipeline processors, Portable devices, Hardware/software interfaces, Instruction set design
30Qiang Zhu 0008, Aviral Shrivastava, Nikil D. Dutt Interactive presentation: Functional and timing validation of partially bypassed processor pipelines. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Aviral Shrivastava, Eugene Earlie, Nikil D. Dutt, Alexandru Nicolau Retargetable pipeline hazard detection for partially bypassed processors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Sanghyun Park, Eugene Earlie, Aviral Shrivastava, Alex Nicolau, Nikil D. Dutt, Yunheung Paek Automatic generation of operation tables for fast exploration of bypasses in embedded processors. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Nigel C. Paver, Moinul H. Khan, Bradley C. Aldrich, Christopher D. Emmons Accelerating Mobile Video: A 64-Bit SIMD Architecture for Handheld Applications. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF architecture, SIMD, SOC, multi-media, wireless video
30Pedro Trancoso Dynamic Split: Flexible Border Between Instruction and Data Cache. Search on Bibsonomy DSD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Kihwan Choi, Ramakrishna Soma, Massoud Pedram Off-chip latency-driven dynamic voltage and frequency scaling for an MPEG decoding. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF MPEG decoding, voltage and frequency scaling, low power
29Aviral Shrivastava, Ilya Issenin, Nikil D. Dutt Compilation techniques for energy reduction in horizontally partitioned cache architectures. Search on Bibsonomy CASES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF XScale, horizontally-partitioned cache, mini-cache, split cache, compiler, energy, data cache
15Jian (Denny) Lin, Wei Song, Albert Mo Kim Cheng Real-energy: a new framework and a case study to evaluate power-aware real-time scheduling algorithms. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF leakage-aware, power-aware scheduling algorithms, dynamic voltage scaling, real-time and embedded systems
15Jiyong Park, Seongsoo Hong Building a customizable embedded operating system with fine-grained joinpoints using the AOX programming environment. Search on Bibsonomy SAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fine-granularity, AOP, operating systems, language independence
15Kapil Anand, Rajeev Barua Instruction cache locking inside a binary rewriter. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF cache locking, embedded systems, caches, binary rewriting
15Sanghyun Park, Aviral Shrivastava, Nikil D. Dutt, Alexandru Nicolau, Yunheung Paek, Eugene Earlie Register File Power Reduction Using Bypass Sensitive Compiler. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Alex K. Jones, Swapna R. Dontharaju, Shen Chih Tung, Leonid Mats, Peter J. Hawrylak, Raymond R. Hoare, James T. Cain, Marlin H. Mickle Radio frequency identification prototyping. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF low-power, RFID, prototyping, Design automation
15Sachin S. Sapatnekar Adapting to the times [review of Adaptive Techniques for Dynamic Processor Optimization: Theory and Practice (Wang, A. and Naffziger, S., Eds.; 2008)]. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Sanghyun Park, Aviral Shrivastava, Yunheung Paek Hiding Cache Miss Penalty Using Priority-based Execution for Embedded Processors. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Junho Ahn, Jung-Hi Min, Hojung Cha, Rhan Ha A Power Management mechanism for Handheld Systems having a Multimedia Accelerator. Search on Bibsonomy PerCom The full citation details ... 2008 DBLP  DOI  BibTeX  RDF handheld systems, multimedia accelerator, power management, CPU
15Wen-Yew Liang, Shih-Chang Chen, Yang-Lang Chang, Jyh-Perng Fang Memory-Aware Dynamic Voltage and Frequency Prediction for Portable Devices. Search on Bibsonomy RTCSA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Christos Strydis, Di Zhu, Georgi Gaydadjiev Profiling of symmetric-encryption algorithms for a novel biomedical-implant architecture. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF implantable devices, microarchitectural profiling, symmetric encryption, ultra-low power
15Aviral Shrivastava, Sanghyun Park, Eugene Earlie, Nikil D. Dutt, Alexandru Nicolau, Yunheung Paek Automatic Design Space Exploration of Register Bypasses in Embedded Processors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Dominique Chanet, Bjorn De Sutter, Bruno De Bus, Ludo Van Put, Koen De Bosschere Automated reduction of the memory footprint of the Linux kernel. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF operating system, compression, compaction, specialization, system calls, Linux kernel
15Carlos Daniel Luján-Martinez, Alejandro Linares-Barranco, Angel Jiménez-Fernandez, Gabriel Jiménez-Moreno, Antón Civit Balcells Multi-task Implementation for Image Reconstruction of an AER Communication. Search on Bibsonomy IWANN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Haiping Wu, Eunjung Park, Mihailo Kaplarevic, Yingping Zhang, Murat Bolat, Xiaoming Li, Guang R. Gao Automatic Program Segment Similarity Detection in Targeted Program Performance Improvement. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Gayatri Mehta, Justin Stander, Mustafa Baz, Brady Hunsaker, Alex K. Jones Interconnect Customization for a Coarse-grained Reconfigurable Fabric. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Changhee Jung, Duk-Kyun Woo, Kanghee Kim, Sung-Soo Lim Performance characterization of prelinking and preloadingfor embedded systems. Search on Bibsonomy EMSOFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF application launching, prelinking, embedded systems, preloading
15Wen-Yew Liang, Hung-Che Lee, Yang-Lang Chang, Jyh-Perng Fang, Jywe-Fei Fang Design and analysis of a low power wireless portable media player. Search on Bibsonomy ICPADS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Karthik Ramani, Al Davis Application driven embedded system design: a face recognition case study. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF embedded systems, compilers, face recognition, workload characterization, instruction scheduling, domain specific architectures
15Nigel C. Paver, Moinul H. Khan, Bradley C. Aldrich Optimizing mobile multimedia using SIMD techniques. Search on Bibsonomy Multim. Tools Appl. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Multimedia, Mobile, Architecture, Programming, SIMD
15Mehrdad Reshadi, Bita Gorjiara, Nikil D. Dutt Generic Processor Modeling for Automatically Generating Very Fast Cycle-Accurate Simulators. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Sanghyun Park, Aviral Shrivastava, Nikil D. Dutt, Alexandru Nicolau, Yunheung Paek, Eugene Earlie Bypass aware instruction scheduling for register file power reduction. Search on Bibsonomy LCTES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF architecture-sensitive compiler, bypass-sensitive, forwarding paths, operation table, processor bypasses, reservation table, power consumption, register file
15Babak Salamat, Amirali Baniasadi, Kaveh Jokar Deris Area-Aware Optimizations for Resource Contrained Branch Predictors Exploited in Embedded Processors. Search on Bibsonomy ICSAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Houman Homayoun, Amirali Baniasadi Reducing Execution Unit Leakage Power in Embedded Processors. Search on Bibsonomy SAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Kim M. Hazelwood, Robert S. Cohn A Cross-Architectural Interface for Code Cache Manipulation. Search on Bibsonomy CGO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Myeong-Chul Park, Young-Joo Kim, Ingeol Chun, Seok-Wun Ha, Yong-Kee Jun A GDB-Based Real-Time Tracing Tool for Remote Debugging of SoC Programs. Search on Bibsonomy ICHIT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF SoC Program, GDB, Remote Debugging, Real-time Tracing, JTAG
15Ahmed Abukmail, Abdelsalam Helal A Pervasive Internet Approach to Fine-Grain Power-Aware Computing. Search on Bibsonomy SAINT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Computation Outsourcing, Pervasive Computing, Power Management, Smart Spaces
15Alex K. Jones, Raymond R. Hoare, Swapna R. Dontharaju, Shen Chih Tung, Ralph Sprang, Joshua Fazekas, James T. Cain, Marlin H. Mickle A Field Programmable RFID Tag and Associated Design Flow. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Keiji Yamamoto, Yutaka Ishikawa, Toshihiro Matsui Portable Execution Time Analysis Method. Search on Bibsonomy RTCSA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Tianzhou Chen, Yin Yan, Hongjun Dai, Hu Wei An Agile BSP Modeling Methodology: Cross Platform BSP Framework (CPBF). Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Alex K. Jones, Raymond R. Hoare, Swapna R. Dontharaju, Shen Chih Tung, Ralph Sprang, Joshua Fazekas, James T. Cain, Marlin H. Mickle An automated, reconfigurable, low-power RFID tag. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Vijay Raghunathan, Cristiano Pereira, Mani B. Srivastava, Rajesh K. Gupta 0001 Energy-aware wireless systems with adaptive power-fidelity tradeoffs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Rodolfo Azevedo, Sandro Rigo, Marcus Bartholomeu, Guido Araujo, Cristiano C. de Araújo, Edna Barros The ArchC Architecture Description Language and Tools. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF ISA simulator, Architecture description language, SystemC, compiled simulation
15Kihwan Choi, Ramakrishna Soma, Massoud Pedram Fine-grained dynamic voltage and frequency scaling for precise energy and performance tradeoff based on the ratio of off-chip access to on-chip computation times. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Rong Xu, Zhiyuan Li 0001 A sample-based cache mapping scheme. Search on Bibsonomy LCTES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cache bypass, cache mapping, mini cache, trace sampling, profiling, handheld devices
15Dominique Chanet, Bjorn De Sutter, Bruno De Bus, Ludo Van Put, Koen De Bosschere System-wide compaction and specialization of the linux kernel. Search on Bibsonomy LCTES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF operating system, compaction, specialization, system calls, linux kernel
15Mehrdad Reshadi, Nikil D. Dutt Generic Pipelined Processor Modeling and High Performance Cycle-Accurate Simulator Generation. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Aviral Shrivastava, Nikil D. Dutt, Alexandru Nicolau, Eugene Earlie PBExplore: A Framework for Compiler-in-the-Loop Exploration of Partial Bypassing in Embedded Processors. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Ripal Nathuji, Balasubramanian Seshasayee, Karsten Schwan Combining Compiler and Operating System Support for Energy Efficient I/O on Embedded Platforms. Search on Bibsonomy SCOPES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Aviral Shrivastava, Eugene Earlie, Nikil D. Dutt, Alexandru Nicolau Aggregating processor free time for energy reduction. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF processor free time, embedded systems, aggregation, clock gating, code transformation, energy reduction
15Fen Xie, Margaret Martonosi, Sharad Malik Efficient behavior-driven runtime dynamic voltage scaling policies. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF runtime dynamic voltage scaling, low power
15A. Goel, C. Mani Krishna 0001, Israel Koren Energy aware kernel for hard real-time systems. Search on Bibsonomy CASES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF embedded system, dynamic voltage scaling, earliest deadline first, real-time operating system, eCos
15Yi-Neng Lin, Chiuan-Hung Lin, Ying-Dar Lin, Yuan-Cheng Lai VPN Gateways over Network Processors: Implementation and Evaluation. Search on Bibsonomy IEEE Real-Time and Embedded Technology and Applications Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Irina Branovic, Roberto Giorgi, Enrico Martinelli A workload characterization of elliptic curve cryptography methods in embedded environments. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Vaughn Iverson, Jeff McVeigh, Bob Reese Real-time H.264/AVC codec on intel architectures. Search on Bibsonomy ICIP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Ismail Kadayif, Mahmut T. Kandemir, Ibrahim Kolcu Exploiting Processor Workload Heterogeneity for Reducing Energy Consumption in Chip Multiprocessors. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Kihwan Choi, Ramakrishna Soma, Massoud Pedram Fine-Grained Dynamic Voltage and Frequency Scaling for Precise Energy and Performance Trade-Off Based on the Ratio of Off-Chip Access to On-Chip Computation Times. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Hassan Sbeyti, Smaïl Niar, Lieven Eeckhout Adaptive Prefetching for Multimedia Applications in Embedded Systems. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Rong Xu, Zhiyuan Li 0001 Using cache mapping to improve memory performance handheld devices. Search on Bibsonomy ISPASS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Aviral Shrivastava, Eugene Earlie, Nikil D. Dutt, Alexandru Nicolau Operation tables for scheduling in the presence of incomplete bypassing. Search on Bibsonomy CODES+ISSS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF hazard detection, operation table, reservation table, scheduling, retargetable compilers, bypass
15Binu K. Mathew, Al Davis, Michael A. Parker A low power architecture for embedded perception. Search on Bibsonomy CASES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF computer vision, embedded systems, speech recognition, perception, low power design, VLIW, stream processor
15Sandro Rigo, Guido Araujo, Marcus Bartholomeu, Rodolfo Azevedo ArchC: A SystemC-Based Architecture Description Language. Search on Bibsonomy SBAC-PAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Cristina Iordache, Ping Tak Peter Tang An Overview of Floating-Point Support and Math Library on the Intel XScaleTM Architecture. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Fen Xie, Margaret Martonosi, Sharad Malik Compile-time dynamic voltage scaling settings: opportunities and limits. Search on Bibsonomy PLDI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF low power, compiler, analytical model, dynamic voltage scaling, mixed-integer linear programming
15Flavius Gruian, Krzysztof Kuchcinski Uncertainty-based scheduling: energy-efficient ordering for tasks with variable execution time. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF dynamic voltage scaling, real-time scheduling, low energy
15Sudeep Pasricha, Alexander V. Veidenbaum Improving Branch Prediction Accuracy in Embedded Processors in the Presence of Context Switches. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Saowanee Saewong, Ragunathan Rajkumar Practical Voltage-Scaling for Fixed-Priority RT-Systems. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Kihwan Choi, Kwanho Kim, Massoud Pedram Energy-aware MPEG-4 FGS streaming. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF MPEG4-FGS, dynamic voltage, video streaming, low energy, frequency scaling
15Greg Semeraro, Grigorios Magklis, Rajeev Balasubramonian, David H. Albonesi, Sandhya Dwarkadas, Michael L. Scott Energy-Efficient Processor Design Using Multiple Clock Domains with Dynamic Voltage and Frequency Scaling. Search on Bibsonomy HPCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Off-Line Analysis Tool, Dynamic Reconfiguration Algorithm, Low Power, Dynamic Voltage and Frequency Scaling, Multiple Clock Domain
15Andreas Weissel, Frank Bellosa Process cruise control: event-driven clock scaling for dynamic power management. Search on Bibsonomy CASES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF clock scaling, event counters, scheduling, power management
15Lawrence T. Clark, Neil Deutscher, Shay Demmons, Franco Ricci Standby power management for a 0.18µm microprocessor. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF body effect, low power, microprocessors
Displaying result #1 - #91 of 91 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license