The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase back-annotation (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1991-2002 (15) 2003-2009 (15) 2010-2019 (7)
Publication types (Num. hits)
article(9) inproceedings(27) phdthesis(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 32 occurrences of 29 keywords

Results
Found 37 publication records. Showing 37 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
39Pankaj Golani, Peter A. Beerel Back Annotation in High Speed Asynchronous Design. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
32Kui Wang, Lian Duan, Xu Cheng ExtensiveSlackBalance: an approach to make front-end tools aware of clock skew scheduling. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF back-annotation, skew scheduling, logic synthesis, clock skew
16Rezgar Sadeghi, Nooshin Nosrati, Katayoon Basharkhah, Zainalabedin Navabi Back-annotation of Interconnect Physical Properties for System-Level Crosstalk Modeling. Search on Bibsonomy ETS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Dongwook Lee, Lizy K. John, Andreas Gerstlauer Dynamic power and performance back-annotation for fast and accurate functional hardware simulation. Search on Bibsonomy DATE The full citation details ... 2015 DBLP  BibTeX  RDF
16Ábel Hegedüs Back-Annotation of Execution Sequences by Advanced Search and Traceability Techniques Search on Bibsonomy 2015   RDF
16Najmeh Farajipour Ghohroud, Zainalabedin Navabi Back-annotation of gate-level power properties into system level descriptions. Search on Bibsonomy NEWCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Suhas Chakravarty, Zhuoran Zhao, Andreas Gerstlauer Automated, retargetable back-annotation for host compiled performance and power modeling. Search on Bibsonomy CODES+ISSS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Trevor Harmon, Martin Schoeberl, Raimund Kirner, Raymond Klefstad, Kwang-Hae (Kane) Kim, Michael R. Lowry Fast, Interactive Worst-Case Execution Time Analysis With Back-Annotation. Search on Bibsonomy IEEE Trans. Ind. Informatics The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Ábel Hegedüs, Gábor Bergmann, István Ráth, Dániel Varró Back-annotation of Simulation Traces with Change-Driven Model Transformations. Search on Bibsonomy SEFM The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Trevor Harmon, Raymond Klefstad Interactive Back-annotation of Worst-case Execution Time Analysis for Java Microprocessors. Search on Bibsonomy RTCSA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Pankaj Golani, Peter A. Beerel Back-Annotation in High-Speed Asynchronous Design. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Petru Eles, Krzysztof Kuchcinski, Zebo Peng, Alexa Doboli Post-synthesis back-annotation of timing information in behavioral VHDL. Search on Bibsonomy J. Syst. Archit. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Mario Calha, Marcelino B. Santos, Fernando M. Gonçalves, Isabel C. Teixeira, João Paulo Teixeira 0001 Back Annotation of Physical Defects into Gate-Level, Realistic Faults in Digital ICs. Search on Bibsonomy ITC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16Zainalabedin Navabi, Mehran Massoumi Investigating simulation of hardware at various levels of abstraction and timing back-annotation of dataflow descriptions. Search on Bibsonomy Simul. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
13Jay K. Adams, John Alan Miller, Donald E. Thomas Execution-time profiling for multiple-process behavioral synthesis. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF execution-time profiling, multiple-process behavioral synthesis, back-annotating, source description, behavioral simulation model, annotated behavioral simulation, high level synthesis, high-level synthesis, timing, timing, logic CAD, digital simulation, circuit analysis computing, hardware design, software profiling, register-transfer level model
9Zhonglei Wang, Andreas Herkersdorf An efficient approach for system-level timing simulation of compiler-optimized embedded software. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF iSciSim, software timing simulation, system level design
9Kevin Camera, Robert W. Brodersen An integrated debugging environment for FPGA computing platforms. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF simulation, FPGA, design, verification
9Kevin Camera, Robert W. Brodersen An integrated debugging environment for FPGA computing platforms. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Angelo Gargantini, Elvinia Riccobene, Patrizia Scandurra A model-driven validation & verification environment for embedded systems. Search on Bibsonomy SIES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Esther Guerra, Daniel Sanz, Paloma Díaz 0001, Ignacio Aedo A Transformation-Driven Approach to the Verification of Security Policies in Web Designs. Search on Bibsonomy ICWE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Mauri Kuorilehto, Mikko Kohvakka, Marko Hännikäinen, Timo D. Hämäläinen High Abstraction Level Design and Implementation Framework for Wireless Sensor Networks. Search on Bibsonomy SAMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Sotiris Bantas, Yorgos Koutsoyannopoulos, Apostolos Liapis An Inductance Modeling Flow Seamlessly Integrated in the RF IC Design Chain. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Cliff C. N. Sze, Ting-Chi Wang Optimal circuit clustering for delay minimization under a more general delay model. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Silvia Brini, Doha Benjelloun, Fabien Castanier A Flexible Virtual Platform for Computational and Communication Architecture Exploration of DMT VDSL Modems. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Imed Moussa, Thierry Grellier, Giang Nguyen Exploring SW Performance Using SoC Transaction-Level Modeling. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Abdelaziz Ammari, Régis Leveugle, Matteo Sonza Reorda, Massimo Violante Detailed Comparison of Dependability Analyses Performed at RT and Gate Levels. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Luca Benini, Luca Macchiarulo, Alberto Macii, Massimo Poncino Layout-driven memory synthesis for embedded systems-on-chip. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Jordi Cortadella, Michael Kishinevsky, Steven M. Burns, Alex Kondratyev, Luciano Lavagno, Ken S. Stevens, Alexander Taubin, Alexandre Yakovlev Lazy transition systems and asynchronous circuit synthesis withrelative timing assumptions. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Davide Bertozzi, Luca Benini, Bruno Riccò Parametric timing and power macromodels for high level simulation of low-swing interconnects. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF delay, interconnect, power, macromodel, low-swing
9Cliff C. N. Sze, Ting-Chi Wang Optimal circuit clustering with variable interconnect delay. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Klaus Harbich, Erich Barke PuMA++: From Behavioral Specification to Multi-FPGA-Prototype. Search on Bibsonomy FPL The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
9Chieh Changfan, Yu-Chin Hsu, Fur-Shing Tsai Timing optimization on routed designs with incremental placementand routing characterization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9Crina Anton, Pierluigi Civera, Ionel Colonescu, Enrico Macii, Massimo Poncino, Alessandro Bogliolo RTL Estimation of Steering Logic Power. Search on Bibsonomy PATMOS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9Marco A. Peña, Jordi Cortadella, Enric Pastor, Alex Kondratyev Formal Verification of Safety Properties in Timed Circuits. Search on Bibsonomy ASYNC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Formal verification, asynchronous circuits, timing analysis
9Andrew R. Conn, Paula K. Coulman, Ruud A. Haring, Gregory L. Morrill, Chandramouli Visweswariah, Chai Wah Wu JiffyTune: circuit optimization using time-domain sensitivities. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Toshihiro Hattori, Yusuke Nitta, Mitsuho Seki, Susumu Narita, Kunio Uchiyama, Tsuyoshi Takahashi, Ryuichi Satomura Design Methodology of a 200MHz Superscalar Microprocessor: SH-4. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF verification, timing, design methodology, microprocessor
9Andrew R. Conn, Paula K. Coulman, Ruud A. Haring, Gregory L. Morrill, Chandramouli Visweswariah Optimization of custom MOS circuits by transistor sizing. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF simulation, optimization, Circuits, gradients, transistor sizing
Displaying result #1 - #37 of 37 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license