The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for branch with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1969 (16) 1970-1973 (15) 1974-1975 (17) 1976-1978 (17) 1979-1981 (20) 1982-1983 (21) 1984-1985 (28) 1986-1987 (31) 1988 (30) 1989 (36) 1990 (39) 1991 (34) 1992 (47) 1993 (58) 1994 (84) 1995 (93) 1996 (100) 1997 (118) 1998 (131) 1999 (162) 2000 (162) 2001 (188) 2002 (213) 2003 (295) 2004 (335) 2005 (414) 2006 (421) 2007 (460) 2008 (455) 2009 (357) 2010 (218) 2011 (191) 2012 (175) 2013 (208) 2014 (221) 2015 (178) 2016 (221) 2017 (245) 2018 (233) 2019 (324) 2020 (373) 2021 (433) 2022 (577) 2023 (609) 2024 (187)
Publication types (Num. hits)
article(4497) book(4) data(1) incollection(66) inproceedings(4175) phdthesis(46) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3865 occurrences of 2046 keywords

Results
Found 8842 publication records. Showing 8790 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
108Po-Yung Chang, Eric Hao, Tse-Yu Yeh, Yale N. Patt Branch classification: a new mechanism for improving branch predictor performance. Search on Bibsonomy MICRO The full citation details ... 1994 DBLP  DOI  BibTeX  RDF branch classification, speculative execution, superscalar, processor performance, branch predictor
104Muawya Al-Otoom, Elliott Forbes, Eric Rotenberg EXACT: explicit dynamic-branch prediction with active updates. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF branch prediction, microarchitecture, superscalar processors
100Sreeram Duvvuru, Siamak Arya Evaluation of a branch target address cache. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF branch target address cache evaluation, sequential flow, pipeline bubbles, branch penalty, cycles per instruction, multiple instruction issue processors, branch resolution scheme, target instruction fetch, unpredictable branches, fully predicated processor architecture, fetch stage, branch target caching policies, branch target address cache, register-relative branches, performance evaluation, interrupts, interrupt, program compilers, pipeline processing, cache storage, storage allocation, instructions, program control structures, cache sizes
88Soner Önder, Jun Xu, Rajiv Gupta 0001 Caching and Predicting Branch Sequences for Improved Fetch Effectiveness. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF branch sequence prediction, sequence table, fetch bandwidth, speculative execution
87Brad Calder, Dirk Grunwald Reducing Branch Costs via Branch Alignment. Search on Bibsonomy ASPLOS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF branch prediction, branch target buffers, trace scheduling, profile-based optimization
86Resit Sendag, Joshua J. Yi, Peng-fei Chuang Branch Misprediction Prediction: Complementary Branch Predictors. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
83Renju Thomas, Manoj Franklin Using Dataflow Based Contextfor Accurate Branch Prediction. Search on Bibsonomy HiPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Data value based branch prediction, dataflow inherited branch history, speculative execution, dynamic branch prediction
83Jong Wook Kwak, Seong Tae Jhang, Chu Shik Jhon Accuracy Enhancement by Selective Use of Branch History in Embedded Processor. Search on Bibsonomy International Conference on Computational Science (4) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF History Length Adjustment, gshare Predictor, Data Dependency, Branch Prediction, Branch History
83Cliff Young, Michael D. Smith 0001 Static correlated branch prediction. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF branch correlation, profile-driven optimization, branch prediction, path profiling
83Gary S. Tyson The effects of predicated execution on branch prediction. Search on Bibsonomy MICRO The full citation details ... 1994 DBLP  DOI  BibTeX  RDF HP-RISC, Pentium, high-performance, ATOM, branch prediction, predication, PowerPC, Alpha
82Michael Haungs, Phil Sallee, Matthew K. Farrens Branch Transition Rate: A New Metric for Improved Branch Classification Analysis. Search on Bibsonomy HPCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Branch Classification, Transition Rate, Dual Path, Branch Prediction
78Jong Wook Kwak, Chu Shik Jhon Recovery Logics for Speculative Update Global and Local Branch History. Search on Bibsonomy ISCIS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Speculative Update Branch History, Recovery Logic, gshare Predictor, Branch Prediction, Branch History
78Pierre Michaud, André Seznec, Richard Uhlig Trading Conflict and Capacity Aliasing in Conditional Branch Predictors. Search on Bibsonomy ISCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF 3 C's classification, skewed branch predictor, branch prediction, aliasing
78Brad Calder, Dirk Grunwald, Michael P. Jones, Donald C. Lindsay, James H. Martin, Michael Mozer, Benjamin G. Zorn Evidence-Based Static Branch Prediction Using Machine Learning. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF neural networks, performance evaluation, machine learning, decision trees, branch prediction, program optimization
73Colin Egan, Gordon B. Steven, Won Shim, Lucian N. Vintan Applying Caching to Two-Level Adaptive Branch Prediction. Search on Bibsonomy DSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Two-level Adaptive Branch Predictors, Cached Correlated Branch Predictors, Prediction Cache
73Scott A. Mahlke, Balas K. Natarajan Compiler Synthesized Dynamic Branch Prediction. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF profile information, pipelined processor, compiler analysis, dynamic branch prediction, branch instruction
72Daniel A. Jiménez Generalizing neural branch prediction. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF machine learning, Branch prediction
72Cheol Kim, Sung Chung, Chu Shik Jhon A Power-Aware Branch Predictor by Accessing the BTB Selectively. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF BTB, PHT, low power design, embedded processor, branch predictor
72Lucian N. Vintan, Marius Sbera, Ioan Z. Mihu, Adrian Florea An alternative to branch prediction: pre-computed branches. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2003 DBLP  DOI  BibTeX  RDF complexity evaluations, multiple instruction issue, performance, pipelining, speculative execution, execution driven simulation, dynamic branch prediction
72Haitham Akkary, Srikanth T. Srinivasan, Konrad Lai Recycling waste: exploiting wrong-path execution to improve branch prediction. Search on Bibsonomy ICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF deep pipelines, branch prediction, instruction reuse
72Philo Juang, Kevin Skadron, Margaret Martonosi, Zhigang Hu, Douglas W. Clark, Phil Diodato, Stefanos Kaxiras Implementing branch-predictor decay using quasi-static memory cells. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Energy aware computing
71Eric Hao, Po-Yung Chang, Yale N. Patt The effect of speculatively updating branch history on branch prediction accuracy, revisited. Search on Bibsonomy MICRO The full citation details ... 1994 DBLP  DOI  BibTeX  RDF two-level adaptive branch prediction, speculative execution, superscalar processors, out-of-order execution, dynamic branch prediction
71Chengmo Yang, Alex Orailoglu Power efficient branch prediction through early identification of branch addresses. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF low-power design, application-specific processors, dynamic branch prediction
71Da-Chih David Tang, Ann Marie Grizzaffi Maynard, Lizy Kurian John Contrasting branch characteristics and branch predictor performance of C++ and C programs. Search on Bibsonomy IPCCC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
68Oliverio J. Santana, Ayose Falcón, Enrique Fernández, Pedro Medina, Alex Ramírez, Mateo Valero A Comprehensive Analysis of Indirect Branch Prediction. Search on Bibsonomy ISHPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF indirect branch, Multi-Stage Cascaded Predictor, branch prediction, microarchitecture, Branch Target Buffer
67Tao Li 0006, Lizy Kurian John, Anand Sivasubramaniam, Narayanan Vijaykrishnan, Juan Rubio 0001 OS-Aware Branch Prediction: Improving Microprocessor Control Flow Prediction for Operating Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF branch prediction, processor architectures, Pipeline processors, performance of systems, hardware/software interfaces, computer system implementation
67Pramod Ramarao, Akhilesh Tyagi An Integrated Partitioning and Scheduling Based Branch Decoupling. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
67Beth Simon, Brad Calder, Jeanne Ferrante Incorporating Predicate Information into Branch Predictors. Search on Bibsonomy HPCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
65Resit Sendag, Joshua J. Yi, Peng-fei Chuang, David J. Lilja Low power/area branch prediction using complementary branch predictors. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
65Sung-Hoon Shim, Jong Wook Kwak, Cheol Hong Kim, Sung Tae Jhang, Chu Shik Jhon Power-Aware Branch Logic: A Hardware Based Technique for Filtering Access to Branch Logic. Search on Bibsonomy SAMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
62Leo Porter 0001, Dean M. Tullsen Creating artificial global history to improve branch prediction accuracy. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF branch prediction
62Edward Lee, Craig B. Zilles Branch-on-random. Search on Bibsonomy CGO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF lfsr, sampling, profiling, instrumentation, branch, pseudo-random
62André Seznec, Stephen Felix, Venkata Krishnan, Yiannakis Sazeides Design Tradeoffs for the Alpha EV8 Conditional Branch Predictor. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF EV8 processor, Branch Prediction
61Alex Ramírez, Josep Lluís Larriba-Pey, Mateo Valero The Effect of Code Reordering on Branch Prediction. Search on Bibsonomy IEEE PACT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
61Akhilesh Tyagi, Hon-Chi Ng, Prasant Mohapatra Dynamic Branch Decoupled Architecture. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF dynamic, decoupling, branches
61Jared Stark, Marius Evers, Yale N. Patt Variable Length Path Branch Prediction. Search on Bibsonomy ASPLOS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
61Brad Calder, Dirk Grunwald, Donald C. Lindsay, James H. Martin, Michael Mozer, Benjamin G. Zorn Corpus-Based Static Branch Prediction. Search on Bibsonomy PLDI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF C
61Ching-Long Su, Alvin M. Despain Minimizing branch misprediction penalties for superpipelined processors. Search on Bibsonomy MICRO The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
61Marius Evers, Po-Yung Chang, Yale N. Patt Using Hybrid Branch Predictors to Improve Branch Prediction Accuracy in the Presence of Context Switches. Search on Bibsonomy ISCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF branch prediction, speculative execution, superscalar, context switch
60Amit Gandhi, Haitham Akkary, Srikanth T. Srinivasan Reducing Branch Misprediction Penalty via Selective Branch Recovery. Search on Bibsonomy HPCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
57Brad Calder, Dirk Grunwald Next Cache Line and Set Prediction. Search on Bibsonomy ISCA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
57Yixin Shi, Gyungho Lee Augmenting Branch Predictor to Secure Program Execution. Search on Bibsonomy DSN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Control Flow Validation, Indirect Branch, Bloom Filter, Software Protection, Branch Predictor
57Gisela Klette Branch Voxels and Junctions in 3D Skeletons. Search on Bibsonomy IWCIA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF 3D skeletons, 3D curve analysis, branch nodes, branch index, astrocytes, thinning, medical image analysis
57Takashi Yokota, Kanemitsu Ootsu, Takanobu Baba Introducing entropies for representing program behavior and branch predictor performance. Search on Bibsonomy Experimental Computer Science The full citation details ... 2007 DBLP  DOI  BibTeX  RDF architecture, information entropy, program behavior, prediction performance, branch predictors
57Amirali Baniasadi, Andreas Moshovos SEPAS: a highly accurate energy-efficient branch predictor. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF high-performance processors, power-aware branch prediction, selective predictor access
57Brian L. Deitrich, Ben-Chung Cheng, Wen-mei W. Hwu Improving Static Branch Prediction in a Compiler. Search on Bibsonomy IEEE PACT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF static branch prediction, profile-based compilation
56François Bodin, Isabelle Puaut A WCET-Oriented Static Branch Prediction Scheme for Real Time Systems. Search on Bibsonomy ECRTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
56Veerle Desmet, Lieven Eeckhout, Koen De Bosschere Using Decision Trees to Improve Program-Based and Profile-Based Static Branch Prediction. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
56Ayose Falcón, Jared Stark, Alex Ramírez, Konrad Lai, Mateo Valero Prophet/Critic Hybrid Branch Prediction. Search on Bibsonomy ISCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
56Lucian N. Vintan, Colin Egan Extending Correlation in Branch Prediction Schemes. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
55Srilatha Manne, Artur Klauser, Dirk Grunwald Branch Prediction Using Selective Branch Inversion. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
55Cliff Young, Michael D. Smith 0001 Improving the Accuracy of Static Branch Prediction Using Branch Correlation. Search on Bibsonomy ASPLOS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
53Arpad Gellert, Adrian Florea, Maria N. Vintan, Colin Egan, Lucian N. Vintan Unbiased Branches: An Open Problem. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF unbiased branch, branch difference value prediction, Branch prediction
53Hyesoon Kim, José A. Joao, Onur Mutlu, Chang Joo Lee, Yale N. Patt, Robert Cohn VPC prediction: reducing the cost of indirect branches via hardware-based dynamic devirtualization. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF devirtualization, indirect branch prediction, virtual functions
52Kevin Casey, M. Anton Ertl, David Gregg Optimizing indirect branch prediction accuracy in virtual machine interpreters. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF code replication, superinstruction, Interpreter, branch prediction, branch target buffer
52M. Anton Ertl, David Gregg Optimizing indirect branch prediction accuracy in virtual machine interpreters. Search on Bibsonomy PLDI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF code replication, superinstruction, interpreter, branch prediction, branch target buffer
52Chih-Chieh Lee, I-Cheng K. Chen, Trevor N. Mudge The bi-Mode Branch Predictor. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF two-level branch prediction, Dynamic branch prediction
52Erik Jacobsen, Eric Rotenberg, James E. Smith 0001 Assigning Confidence to Conditional Branch Predictions. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF branch correctness, conditional branch predictions, dynamic branches, processor resources, static branches, resource allocation
51Paul Biggar, Nicholas Nash, Kevin Williams 0001, David Gregg An experimental study of sorting and branch prediction. Search on Bibsonomy ACM J. Exp. Algorithmics The full citation details ... 2008 DBLP  DOI  BibTeX  RDF caching, Sorting, branch prediction, pipeline architectures
51Zhengbing Bian, Qian-Ping Gu Computing Branch Decomposition of Large Planar Graphs. Search on Bibsonomy WEA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF computational study, Graph algorithms, planar graphs, algorithm engineering, branch-decomposition
51Wei Zhang 0002, Bramha Allu Reducing branch predictor leakage energy by exploiting loops. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF compiler, Branch prediction, leakage energy
51Sung Woo Chung, Kevin Skadron Using Branch Prediction Information for Near-Optimal I-Cache Leakage. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Low Power, Branch Prediction, Leakage, Instruction Cache, Drowsy Cache
51Peng Zhou, Soner Önder, Steve Carr 0001 Fast branch misprediction recovery in out-of-order superscalar processors. Search on Bibsonomy ICS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF processor state, checkpoint, recovery, branch misprediction
51Wei Zhang 0002, Bramha Allu Loop-based leakage control for branch predictors. Search on Bibsonomy CASES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF compiler, branch prediction, leakage energy
51Vladimir Uzelac, Aleksandar Milenkovic Experiment flows and microbenchmarks for reverse engineering of branch predictor structures. Search on Bibsonomy ISPASS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
51Tao Li 0006, Ravi Bhargava, Lizy Kurian John Rehashable BTB: An Adaptive Branch Target Buffer to Improve the Target Predictability of Java Code. Search on Bibsonomy HiPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
51Zhigang Hu, Philo Juang, Kevin Skadron, Douglas W. Clark, Margaret Martonosi Applying Decay Strategies to Branch Predictors for Leakage Energy Savings. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
51Matthias Elf, Carsten Gutwenger, Michael Jünger, Giovanni Rinaldi Branch-and-Cut Algorithms for Combinatorial Optimization and Their Implementation in ABACUS. Search on Bibsonomy Computational Combinatorial Optimization The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
51Jayanth Gummaraju, Manoj Franklin Branch Prediction in Multi-Threaded Processors. Search on Bibsonomy IEEE PACT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
51Jan Hoogerbrugge Dynamic Branch Prediction for a VLIW Processor. Search on Bibsonomy IEEE PACT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
51Anshuman S. Nadkarni, Akhilesh Tyagi A Trace Based Evaluation of Speculative Branch Decoupling. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
51Ryan N. Rakvic, Bryan Black, John Paul Shen Completion time multiple branch prediction for enhancing trace cache performance. Search on Bibsonomy ISCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
51Cliff Young, Nicholas C. Gloy, Michael D. Smith 0001 A Comparative Analysis of Schemes for Correlated Branch Prediction. Search on Bibsonomy ISCA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
50Simon Kluyskens, Lieven Eeckhout Branch Predictor Warmup for Sampled Simulation through Branch History Matching. Search on Bibsonomy Trans. High Perform. Embed. Archit. Compil. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
50Simon Kluyskens, Lieven Eeckhout Branch History Matching: Branch Predictor Warmup for Sampled Simulation. Search on Bibsonomy HiPEAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
48Jong Wook Kwak, Seong Tae Jhang, Chu Shik Jhon History Length Adjustable gshare Predictor for High-Performance Embedded Processor. Search on Bibsonomy ICCSA (4) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF History Length Adjustment, gshare Predictor, Branch Prediction, Branch History
47Christian Panis, Ulrich Hirnschrott, Andreas Krall, Gunther Laure, Wolfgang Lazian, Jari Nurmi FSEL - Selective Predicated Execution for a Configurable DSP Core. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
47Harish Patil, Joel S. Emer Combining Static and Dynamic Branch Prediction to Reduce Destructive Aliasing. Search on Bibsonomy HPCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Conditional branch prediction, static branch prediction, profile-based optimization
47Eric Sprangle, Robert S. Chappell, Mitch Alsup, Yale N. Patt The Agree Predictor: A Mechanism for Reducing Negative Branch History Interference. Search on Bibsonomy ISCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF two-level branch prediction, branch prediction, speculative execution, superscalar
46Onur Aciiçmez, Çetin Kaya Koç, Jean-Pierre Seifert Predicting Secret Keys Via Branch Prediction. Search on Bibsonomy CT-RSA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Simultaneous Multi-threading, RSA, Branch Prediction, Side Channel Analysis, Montgomery Multiplication, Modular Exponentiation
46Dharmesh Parikh, Kevin Skadron, Yan Zhang 0028, Mircea R. Stan Power-Aware Branch Prediction: Characterization and Design. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF target prediction, highly-biased branches, pipeline gating, speculation control, Low-power design, power, branch prediction, processor architecture, energy-aware systems, banking
46Matteo Monchiero, Gianluca Palermo, Mariagiovanna Sami, Cristina Silvano, Vittorio Zaccaria, Roberto Zafalon Power-aware branch prediction techniques: a compiler-hints based approach for VLIW processors. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF low-power design, branch prediction, VLIW processors
46Neelam Gupta, Aditya P. Mathur, Mary Lou Soffa Generating Test Data for Branch Coverage. Search on Bibsonomy ASE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF iterative relaxation technique, testing tools, Path testing, branch testing
46Eduardo Quiñones, Joan-Manuel Parcerisa, Antonio González 0001 Improving Branch Prediction and Predicated Execution in Out-of-Order Processors. Search on Bibsonomy HPCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
46Daniel A. Jiménez Piecewise Linear Branch Prediction. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
46Amirali Baniasadi Power-Aware Branch Predictor Update for High-Performance Processors. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
46Daniel A. Jiménez Reconsidering Complex Branch Predictors. Search on Bibsonomy HPCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
46Jakob Engblom Analysis of the Execution Time Unpredictability caused by Dynamic Branch Prediction. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
46Juan L. Aragón, José González 0002, José M. García 0001, Antonio González 0001 Confidence Estimation for Branch Prediction Reversal. Search on Bibsonomy HiPC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
46Timothy H. Heil, Zak Smith, James E. Smith 0001 Improving Branch Predictors by Correlating on Data Values. Search on Bibsonomy MICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
46Rastislav Bodík, Rajiv Gupta 0001, Mary Lou Soffa Interprocedural Conditional Branch Elimination. Search on Bibsonomy PLDI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
45Amirali Baniasadi, Andreas Moshovos Branch Predictor Prediction: A Power-Aware Branch Predictor for High-Performance Processors. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
42Oliverio J. Santana, Alex Ramírez, Mateo Valero Enlarging Instruction Streams. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Superscalar processor design, branch prediction, code optimization, instruction fetch, access latency
42Koetsu Yamazaki, Xiaohong Ding Optimum Design of Cooling Pipe Systems by Branching Tree Model in Nature. Search on Bibsonomy System Modelling and Optimization The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Cooling Channel, Branch System, Bionic Design, Layout Optimization
42Kenneth A. Ross Selection conditions in main memory. Search on Bibsonomy ACM Trans. Database Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Branch misprediction
42Glenn Reinman, Brad Calder, Todd M. Austin Optimizations Enabled by a Decoupled Front-End Architecture. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF fetch architectures, branch prediction, Decoupled architectures, instruction prefetching
42André Seznec Don't Use the Page Number, But a Pointer To It. Search on Bibsonomy ISCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF address width, indirect-tagged caches, reduced branch target buffers, tag implementation cost
42Barry S. Fagin, Amit Mital The Performance of Counter- and Correlation-Based Schemes for Branch Target Buffers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Branch correlation, performance modeling, branch prediction, trace-driven simulation, branch target buffer
42Chris H. Perleberg, Alan Jay Smith Branch Target Buffer Design and Optimization. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF branch target buffer design, branch tag, prediction information, branch target address, optimization, complexity, caching, pipeline processing, buffer storage, instructions, instruction sets, pipelined processors, branches, performance penalty, least recently used
42Zhizhong Tang, Gang Chen, Chihong Zhang, Yingwei Zhang, Bogong Su, Stanley Habib GPMB - software pipelining branch-intensive loops. Search on Bibsonomy MICRO The full citation details ... 1993 DBLP  DOI  BibTeX  RDF branch overlapping, branch-intensive loop-level parallelism, multi-branch switch, processing element
Displaying result #1 - #100 of 8790 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license