The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for buffers with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1981 (15) 1982-1985 (19) 1986-1987 (21) 1988-1989 (25) 1990 (31) 1991 (26) 1992-1993 (44) 1994 (40) 1995 (51) 1996 (46) 1997 (57) 1998 (60) 1999 (64) 2000 (77) 2001 (88) 2002 (104) 2003 (127) 2004 (186) 2005 (206) 2006 (200) 2007 (171) 2008 (209) 2009 (154) 2010 (52) 2011 (45) 2012 (44) 2013 (48) 2014 (46) 2015 (40) 2016 (36) 2017 (44) 2018 (38) 2019 (45) 2020 (36) 2021 (29) 2022 (35) 2023 (36) 2024 (5)
Publication types (Num. hits)
article(853) incollection(4) inproceedings(1732) phdthesis(11)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2188 occurrences of 1228 keywords

Results
Found 2600 publication records. Showing 2600 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
66Avinash Karanth Kodi, Ashwini Sarathy, Ahmed Louri Adaptive Channel Buffers in On-Chip Interconnection Networks - A Power and Performance Analysis. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
66Mihaela Enachescu, Yashar Ganjali, Ashish Goel, Nick McKeown, Tim Roughgarden Part III: routers with very small buffers. Search on Bibsonomy Comput. Commun. Rev. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF all-optical routers, congestion control, TCP, buffer size
55Mitrajit Chatterjee, Savita Banerjee, Dhiraj K. Pradhan Buffer Assignment Algorithms on Data Driven ASICs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF throughput, Application specific integrated circuits, buffers, data flow graph, wave-pipelining, data driven architecture
53Avinash Karanth Kodi, Ashwini Sarathy, Ahmed Louri Design of adaptive communication channel buffers for low-power area-efficient network-on-chip architecture. Search on Bibsonomy ANCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF network-on-chip, low-power design
52James H. Anderson, Philip Holman Efficient pure-buffer algorithms for real-time systems. Search on Bibsonomy RTCSA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF efficient pure-buffer algorithms, multiprocessor real-time systems, multi-writer read/write pure-buffers, overwritten data, client processes, handshaking mechanisms, concurrent read/write operations safety, quantum-scheduled systems, priority-scheduled systems, buffer word length, real-time systems, computational complexity, distributed algorithms, safety, multiprocessing systems, time complexity, processor scheduling, optimized algorithms, buffer storage, space complexity, wait-free algorithms, shared buffers, processor number
50Lei Chen 0010, Zhiquan Zhang, Zhiping Wen 0001 A novel BIST approach for testing input/output buffers in FPGAs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF i/o buffers, built-in self-test, fpga testing
50Ashutosh Chakraborty, Karthik Duraisami, Ashoka Visweswara Sathanur, Prassanna Sithambaram, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino Dynamic thermal clock skew compensation using tunable delay buffers. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF temperature aware design methodology, tunable delay buffers, clock skew, clock tree
47Yelena Rykalova, Lev B. Levitin, Richard Brower Multiprocessor networks with small buffers: theory and simulation. Search on Bibsonomy SpringSim The full citation details ... 2009 DBLP  DOI  BibTeX  RDF critical phenomena in networks, network saturation, latency, queueing networks, supercomputers, network performance
47Avinash Karanth Kodi, Ahmed Louri, Janet Meiling Wang Design of energy-efficient channel buffers with router bypassing for network-on-chips (NoCs). Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
47Songqing Chen, Bo Shen 0003, Yong Yan 0003, Sujoy Basu, Xiaodong Zhang 0001 Fast proxy delivery of multiple streaming sessions in shared running buffers. Search on Bibsonomy IEEE Trans. Multim. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
47Nikolai Joukov, Aditya Kashyap, Gopalan Sivathanu, Erez Zadok An electric fence for kernel buffers. Search on Bibsonomy StorageSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF security, file systems, buffer overflow
47Atsushi Matsumura, Sei Naito, Ryoichi Kawada, Atsushi Koike, Shuichi Matsumoto Effective interpolation for free viewpoint images using multi-layered dynamic background buffers. Search on Bibsonomy ICIP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
47Guido Appenzeller, Isaac Keslassy, Nick McKeown Sizing router buffers. Search on Bibsonomy SIGCOMM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF TCP, buffer size, internet router, bandwidth delay product
47Songqing Chen, Bo Shen 0003, Yong Yan 0003, Sujoy Basu, Xiaodong Zhang 0001 SRB: Shared Running Buffers in Proxy to Exploit Memory Locality of Multiple Streaming Media Sessions. Search on Bibsonomy ICDCS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
47Enric Gibert, F. Jesús Sánchez, Antonio González 0001 Flexible Compiler-Managed L0 Buffers for Clustered VLIW Processors. Search on Bibsonomy MICRO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
46Huamin Qu, Arie E. Kaufman O-Buffer: A Framework for Sample-Based Graphics. Search on Bibsonomy IEEE Trans. Vis. Comput. Graph. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
44Iskander R. Gilmanov, Dmitry V. Samigullin, Frantisek Vyskocil, Eugeny E. Nikolsky, Ellya A. Bukharaeva Modeling of quantal neurotransmitter release kinetics in the presence of fixed and mobile calcium buffers. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Neuromuscular junction, Time course of secretion, Calcium buffers, Mathematical modeling
42Enric Gibert, F. Jesús Sánchez, Antonio González 0001 An interleaved cache clustered VLIW processor. Search on Bibsonomy ICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF attraction buffers, modulo scheduling, VLIW processors, distributed cache, clustered microarchitectures
41Derek C. W. Pao, W. N. Chau Design of ATM switch using hypercube with distributed shared input buffers and dedicated output buffers. Search on Bibsonomy ICNP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
40Neda Beheshti, Yashar Ganjali, Ashish Goel, Nick McKeown Obtaining High Throughput in Networks with Tiny Buffers. Search on Bibsonomy IWQoS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
40Arun Vishwanath, Vijay Sivaraman Routers With Very Small Buffers: Anomalous Loss Performance for Mixed Real-Time and TCP Traffic. Search on Bibsonomy IWQoS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
39Vikram S. Adve, Mary K. Vernon Performance Analysis of Mesh Interconnection Networks with Deterministic Routing. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF mesh interconnection networks, single-hit buffers, infinite buffers, nonadaptive deadlock-free routing scheme, closedqueueing network, message blocking, message pipelining, arbitrary source-destinationprobability distribution, 2D networks, shared-memory traffic, two dimensional network, performance evaluation, performance analysis, concurrency control, probability, multiprocessor interconnection networks, queueing theory, wormhole routing, network routing, virtual channels, deterministic routing, k-ary n-cube networks, deadlock-free routing algorithm, performance issues
39Baosheng Wang, Yuejian Wu, André Ivanov Designs for Reducing Test Time of Distributed Small Embedded SRAMs. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Distributed Small Embedded SRAMs, Data Retention Fault Test, Response Analysis, Test Time
39Ilkka Saastamoinen, M. Alho, Jari Nurmi Buffer implementation for Proteo network-on-chip. Search on Bibsonomy ISCAS (2) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
39Cheng-Shang Chang, Duan-Shin Lee, Ching-Ming Lien Load balanced Birkhoff-von Neumann switches with resequencing. Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
39K. K. Bagchi, Pradip K. Das, B. B. Bhaumik An approach to parallel architecture modelling (abstract only). Search on Bibsonomy ACM Conference on Computer Science The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
37Binggang Wang, Yunqing Rao, Xinyu Shao, Mengchang Wang Sequencing Mixed-Model Assembly Lines with Limited Intermediate Buffers by a GA/SA-Based Algorithm. Search on Bibsonomy ICIC (3) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Mixed-model assembly lines, Limited intermediate buffers, GA/SA-based algorithms, Genetic algorithms, Sequencing
37Jorge García-Vidal, Maribel March, Llorenç Cerdà, Jesús Corbal, Mateo Valero A DRAM/SRAM Memory Scheme for Fast Packet Buffers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF high-performance memory systems, Router architecture, storage schemes, packet buffers
37Harlen Costa Batagelo, Ilaim Costa Júnior Real-Time Shadow Generation Using BSP Trees and Stencil Buffers. Search on Bibsonomy SIBGRAPI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Stencil Buffers, Shadows, BSP Trees
37Sanjoy K. Baruah, Steve Goddard, Kevin Jeffay Feasibility concerns in PGM graphs with bounded buffers. Search on Bibsonomy ICECCS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF feasibility concerns, processing graph method, embedded signal processing, co-NP-complete, optimal preemptive scheduling algorithm, system architects, real-time scheduling, data flow graphs, memory requirements, computational resources, feasibility test, dataflow model, bounded buffers
37Aristotel Tentov, Aksenti L. Grnarov Performance Analysis of Packet Switching Interconnection Networks with Finite Buffers. Search on Bibsonomy EUROMICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF buffered interconnection networksop of Form Bottom of Form, performance analysis, interconnection networks, packet switching, packet switching, finite buffers
36Vijay Sivaraman, Hossam A. ElGindy, David Moreland, Diethelm Ostry Packet pacing in small buffer optical packet switched networks. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF small buffers, traffic burstiness, traffic pacing, optical packet switch
36Martijn Coenen, Srinivasan Murali, Andrei Radulescu, Kees Goossens, Giovanni De Micheli A buffer-sizing algorithm for networks on chip using TDMA and credit-based end-to-end flow control. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF systems-on-chip, networks-on-chip, buffers, area
36Suleyman Sair, Timothy Sherwood, Brad Calder A Decoupled Predictor-Directed Stream Prefetching Architecture. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Data prefetching, address prediction, stream buffers
36Sunil Kumar, R. Srikant 0001, P. R. Kumar 0001 Bounding blocking probabilities and throughput in queueing networks with buffer capacity constraints. Search on Bibsonomy Queueing Syst. Theory Appl. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF performance evaluation, communication networks, queueing networks, blocking, finite buffers
34Ashutosh Chakraborty, Karthik Duraisami, Ashoka Visweswara Sathanur, Prassanna Sithambaram, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino Dynamic Thermal Clock Skew Compensation Using Tunable Delay Buffers. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Sundar Iyer, Ramana Rao Kompella, Nick McKeown Designing packet buffers for router linecards. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF hit-rate, line-card, cache, memory hierarchy, router, switches, packet buffer
34Massimo Alioto, Gaetano Palumbo Power-delay optimization in MCML tapered buffers. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34João Pedro 0001, Paulo P. Monteiro, João Pires 0001 Efficient optical burst-switched networks using only fiber delay line buffers for contention resolution. Search on Bibsonomy BROADNETS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Tao Zhang 0043, Kejie Lu, Jason P. Jue Shared fiber delay line buffers in asynchronous optical packet switches. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Tong Chen 0001, Zehra Sura, Kathryn M. O'Brien, John K. O'Brien Optimizing the Use of Static Buffers for DMA on a CELL Chip. Search on Bibsonomy LCPC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Gerrit Färber, Anna M. Coves Moreno Performance Study of a Genetic Algorithm for Sequencing in Mixed Model Non-permutation Flowshops Using Constrained Buffers. Search on Bibsonomy ICCSA (3) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Alex Garthwaite, David Dice, Derek White Supporting per-processor local-allocation buffers using lightweight user-level preemption notification. Search on Bibsonomy VEE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF restartable critical sections, locality, memory allocation
34Payam Heydari, Ravindran Mohanavelu Design of ultrahigh-speed low-voltage CMOS CML buffers and latches. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
34Ju-Whan Song, Ou-Bong Gwun, Seung-Wan Kim, Yong-Guk Kim A Boundary Surface Based Ray Casting Using 6-Depth Buffers. Search on Bibsonomy CIS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
34Sharath Kodase, Shige Wang, Zonghua Gu 0001, Kang G. Shin Improving Scalability of Task Allocation and Scheduling in Large Distributed Real-Time Systems Using Shared Buffers. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34Payam Heydari, Ravindran Mohanavelu Design of ultra high-speed CMOS CML buffers and latches. Search on Bibsonomy ISCAS (2) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34Payam Heydari Design issues in low-voltage high-speed current-mode logic buffers. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF VLSI, noise, integrated circuit, high-speed, current-mode logic
34Payam Heydari Design and Analysis of Low-Voltage Current-Mode Logic Buffers. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34Alberto García-Martínez, Jesús Fernández-Conde, Ángel Viña Single Pair of Buffers: Reducing Memory Requirements in VBR Media Servers. Search on Bibsonomy IDMS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
34Brian S. Cherkauer, Eby G. Friedman A unified design methodology for CMOS tapered buffers. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
33Thomas Moscibroda, Onur Mutlu A case for bufferless routing in on-chip networks. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF routing, multi-core, memory systems, on-chip networks
33Faisal Ghias Mir, Jesper Larsson Träff Constructing MPI Input-output Datatypes for Efficient Transpacking. Search on Bibsonomy PVM/MPI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
33Mark Shifrin, Isaac Keslassy Modeling TCP in Small-Buffer Networks. Search on Bibsonomy Networking The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Small-Buffer Network, Backbone Routers, Network Model
33Lotfi Mhamdi A Partially Buffered Crossbar packet switching architecture and its scheduling. Search on Bibsonomy ISCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
33Robert Shorten, Douglas J. Leith On queue provisioning, network efficiency and the transmission control protocol. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF TCP, TCP/IP, buffer sizing, AIMD
33Zvi Rosberg, Andrew Zalesky, Moshe Zukerman Packet delay in optical circuit-switched networks. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF fixed point approximation, circuit switching, packet delay, WDM network
33King Ho Tam, Lei He 0001 Power optimal dual-Vdd buffered tree considering buffer stations and blockages. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low power, buffer insertion, detail routing
33Iris Hui-Ru Jiang, Yao-Wen Chang, Jing-Yang Jou, Kai-Yuan Chao Simultaneous floor plan and buffer-block optimization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
33Costas Busch Õ(Congestion + Dilation) Hot-Potato Routing on Leveled Networks. Search on Bibsonomy Theory Comput. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
33Eugin Hyun, Kwang-Su Seong The Effective Buffer Architecture for Data Link Layer of PCI Express. Search on Bibsonomy ITCC (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF PCI, Data Link Layer, Buffer management, Buffer, PCI Express
33Li-Shiuan Peh, William J. Dally Flit-Reservation Flow Control. Search on Bibsonomy HPCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF flit-reservation, interconnection networks, communication networks, flow control, multicomputers, virtual-channel, wormhole
31Aamer Jaleel, Bruce L. Jacob In-Line Interrupt Handling and Lock-Up Free Translation Lookaside Buffers (TLBs). Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Reorder-buffer (ROB), exception handlers, in-line interrupt, lock-up free, translation lookaside buffers (TLBs), performance modeling, precise interrupts
31Veronique Inghelbrecht, Bart Steyaert, Sabine Wittevrongel, Herwig Bruneel Burst loss and delay in optical buffers with offset-time management. Search on Bibsonomy Telecommun. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Fiber delay lines, Offset time, Scheduling horizon, Quality of service, Optical buffers
31Daniel M. Pressel Fundamental limitations on the use of prefetching and stream buffers for scientific applications. Search on Bibsonomy SAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF UML, C++, high performance computing, prefetching, supercomputer, stream buffers
31Wladek Olesinski, Pawel Gburzynski Asynchronous Deflection with Transient Buffers. Search on Bibsonomy ICCCN The full citation details ... 1998 DBLP  DOI  BibTeX  RDF asynchronous deflection networks, simulation, buffers
31Emmanouel A. Varvarigos, Vishal Sharma The ready-to-go virtual circuit protocol: a loss-free protocol for multigigabit networks using FIFO buffers. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF FIFO buffers, flow control protocols, switch design
31Friedhelm Meyer auf der Heide, Christian Scheideler Deterministic Routing with Bounded Buffers: Turning Offline into Online Protocols. Search on Bibsonomy FOCS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF online protocols, deterministic protocol, arbitrary permutations, r-replicated s-ary Multibutterflies, multiprocessor interconnection networks, deterministic routing, arbitrary networks, bounded buffers
31Andrei Z. Broder, Alan M. Frieze, Eli Upfal A General Approach to Dynamic Packet Routing with Bounded Buffers (extended abstract). Search on Bibsonomy FOCS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF general approach, dynamic packet routing, static routing, injection rate, stability, packet switching, routing algorithm, sufficient condition, butterfly, steady state analysis, worst case bounds, bounded buffers
31Satoshi Nishimura, Tosiyasu L. Kunii VC-1: A Scalable Graphics Computer with Virtual Local Frame Buffers. Search on Bibsonomy SIGGRAPH The full citation details ... 1996 DBLP  DOI  BibTeX  RDF frame buffers, parallel polygon rendering, scalable, demand paging
31Jelio Todorov Yantchev, C. G. Huang, Mark B. Josephs, Ivailo M. Nedelchev Low-latency asynchronous FIFO buffers. Search on Bibsonomy ASYNC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF buffer circuits, low-latency asynchronous FIFO buffers, parallel asynchronous implementation, interface circuitry, inter-chip communication wires, acknowledge signal, high-throughput multiple-burst signalling scheme, packet switching, asynchronous circuits, pipeline processing, propagation delay
31Andrew Choi, Manfred Ruschitzka Managing Locality Sets: The Model and Fixed-Size Buffers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF fixed-size buffers, memory-management model, reference behavior, locality-set sequences, PSETMIN, SETMIN strategies, prepaging, multiattribute-index catalogs, concomitant performance gains, optimal locality-set management, relational databases, heuristics, storage management, MIN, relational database management systems, page faults
31Raif O. Onvural, Harry G. Perros Approximate Throughput Analysis of Cyclic Queueing Networks with Finite Buffers. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF approximate throughput analysis, cyclic queueing networks, blocking mechanisms, central server model, performance evaluation, computer networks, queueing theory, customers, finite buffers, approximation method, validation tests
29Daniel Lemire, Owen Kaser Hierarchical bin buffering: Online local moments for dynamic external memory arrays. Search on Bibsonomy ACM Trans. Algorithms The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Very large arrays, hierarchical buffers, polynomial fitting, statistical queries
29Yuki Kobayashi, Murali Jayapala, Praveen Raghavan, Francky Catthoor, Masaharu Imai Methodology for operation shuffling and L0 cluster generation for low energy heterogeneous VLIW processors. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Compilers for low energy, loop buffers, VLIW processors
29Prashant Saxena The scaling of interconnect buffer needs. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF interconnect, scaling, buffers, repeaters
29Greg Stitt, Zhi Guo, Walid A. Najjar, Frank Vahid Techniques for synthesizing binaries to an advanced register/memory structure. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF smart buffers, FPGA, embedded systems, synthesis, decompilation, binaries
29Hamada Alshaer, Eric Horlait Expedited Forwarding End to End Delay Jitter in the Differentiated Services Networks. Search on Bibsonomy HSNMC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Expedited forwarding, e2e jitter, Playout buffers, DiffServ
29Jayaprakash Pisharath, Alok N. Choudhary An integrated approach to reducing power dissipation in memory hierarchies. Search on Bibsonomy CASES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF RDRAM, dynamic cache, energy-saver buffers (ESB), power, integrated approach, energy-delay product
29Byungho Kim, Boseob Kwon, Hyunsoo Yoon, Seungryul Maeng, Jung Wan Cho Performance Analysis of Multipath Multistage Interconnection Networks with Nonuniform Output Traffic Distribution. Search on Bibsonomy PDP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF buffer circuits, multipath multistage interconnection networks, nonuniform output traffic distribution, delay-throughput performance, packet arrival rates, output module., performance evaluation, performance analysis, delays, probability, packet switching, multistage interconnection networks, telecommunication traffic, packet delay, losses, output buffers, packet loss probability
29M. Balakrishnan Buffer constraints in a variable-rate packetized video system. Search on Bibsonomy ICIP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF variable rate codes, variable rate packetized video system, variable rate video encoding system, encoder buffer control mechanism, decoder buffers, logical buffer sizes, channel rate, algorithm, video coding, packet switching, decoding, buffer storage, telecommunication control, necessary conditions, buffer constraints
29Valmir Carneiro Barbosa Strategies for the Prevention of Communication Deadlocks in Distributed Parallel Programs. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF randomly generated systems, distributed parallel programs, unavailability, message buffers, message buffering space, buffer usage, NP-hard optimization problems, computational complexity, parallel programming, concurrency, heuristic, upper bounds, programming theory, communication channels, deadlock prevention, process execution, communication deadlocks
28Khushboo Rani, Hemangee K. Kapoor Write-variation aware alternatives to replace SRAM buffers with non-volatile buffers in on-chip interconnects. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
28Parosh Aziz Abdulla, Mohamed Faouzi Atig, Ahmed Bouajjani, Tuan Phong Ngo Replacing Store Buffers by Load Buffers in TSO. Search on Bibsonomy VECoS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
27Jim Rasmusson, Jacob Ström, Tomas Akenine-Möller Error-bounded lossy compression of floating-point color buffers using quadtree decomposition. Search on Bibsonomy Vis. Comput. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Color buffer compression, Real-time, Quadtree, High dynamic range, Lossy compression, Texture compression
27Guan-Hong Jhou, Woei Lin A Frame-Based Architecture with Shared Buffers for Slotted Optical Packet Switching. Search on Bibsonomy HPCC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Hua Wang, Francky Catthoor, Miguel Miranda, Wim Dehaene Synthesis of Runtime Switchable Pareto Buffers Offering Full Range Fine Grained Energy/Delay Trade-Offs. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF CMOS buffer, Low power design, Trade-offs
27Tjerk Bijlsma, Marco Bekooij, Pierre G. Jansen, Gerard J. M. Smit Communication between nested loop programs via circular buffers in an embedded multiprocessor system. Search on Bibsonomy SCOPES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Willem de Bruijn, Herbert Bos Beltway Buffers: Avoiding the OS Traffic Jam. Search on Bibsonomy INFOCOM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Sami Kirolos, Yehia Massoud, Yehea I. Ismail Accurate analytical delay modeling of CMOS clock buffers considering power supply variations. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Fabrizio Marinelli 0001, Maria Elena Nenni, Antonio Sforza Capacitated lot sizing and scheduling with parallel machines and shared buffers: A case study in a packaging company. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Scheduling, Packaging, Lot sizing
27Paul Bogdan, Radu Marculescu Quantum-Like Effects in Network-on-Chip Buffers Behavior. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Alexandre J. Aragão, João Navarro Jr., Wilhelmus A. M. Van Noije Mismatch effect analyses in CMOS tapered buffers. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Soo-Tae Kwon On the Optimal Buffer Allocation of an FMS with Finite In-Process Buffers. Search on Bibsonomy ICCSA (3) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Throughput, Queueing Network, Buffer, FMS
27Yossi Azar, Nir Levy Multiplexing Packets with Arbitrary Deadlines in Bounded Buffers. Search on Bibsonomy SWAT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Guillermo Barrenetxea, Baltasar Beferull-Lozano, Martin Vetterli Efficient routing with small buffers in dense networks. Search on Bibsonomy IPSN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Enrico Baccaglini, Guillermo Barrenetxea, Baltasar Beferull-Lozano Performance of Multiple Description Coding in Sensor Networks with Finite Buffers. Search on Bibsonomy ICME The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Soo-Tae Kwon On the Optimal Workloads Allocation of an FMS with Finite In-process Buffers. Search on Bibsonomy ICCSA (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Throughput, Queueing Network, Workload, FMS
27Chaeseok Im, Soonhoi Ha, Huiseok Kim Dynamic voltage scheduling with buffers in low-power multimedia applications. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Buffer requirement estimation, dynamic voltage scheduling, multimedia applications, low-power systems
27Adam Smyk, Marek Tudruj RDMA Communication Based on Rotating Buffers for Efficient Parallel Fine-Grain Computations. Search on Bibsonomy PPAM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 2600 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license