The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase chip-multiprocessing (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1996-2005 (23) 2006-2007 (15) 2008-2012 (12)
Publication types (Num. hits)
article(7) inproceedings(43)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 61 occurrences of 43 keywords

Results
Found 50 publication records. Showing 50 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
69Per Stenström Chip-multiprocessing and beyond. Search on Bibsonomy HPCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
69Luiz André Barroso, Kourosh Gharachorloo, Robert McNamara, Andreas Nowatzyk, Shaz Qadeer, Barton Sano, Scott Smith, Robert Stets, Ben Verghese Piranha: a scalable architecture based on single-chip multiprocessing. Search on Bibsonomy ISCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
64Michael Gschwind Chip multiprocessing and the cell broadband engine. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF compute-transfer parallelism (CTP), cell broadband engine, memory-level parallelism (MLP), chip multiprocessing, heterogeneous chip multiprocessor
47Ismail Kadayif, Mahmut T. Kandemir, Ibrahim Kolcu Exploiting Processor Workload Heterogeneity for Reducing Energy Consumption in Chip Multiprocessors. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
45Rakesh Kumar 0002, Norman P. Jouppi, Dean M. Tullsen Conjoined-Core Chip Multiprocessing. Search on Bibsonomy MICRO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
42Gianfranco Bilardi, Andrea Pietracaprina, Geppino Pucci, Sebastiano Fabio Schifano, Raffaele Tripiccione The Potential of On-Chip Multiprocessing for QCD Machines. Search on Bibsonomy HiPC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
42James Laudon, Lawrence Spracklen The Coming Wave of Multithreaded Chip Multiprocessors. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF performance, parallel programming, multithreading, Chip multiprocessing
42J. Gregory Steffan, Christopher B. Colohan, Antonia Zhai, Todd C. Mowry The STAMPede approach to thread-level speculation. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cache coherence, automatic parallelization, Thread-level speculation, chip-multiprocessing
41Qingying Deng, Minxuan Zhang, Jiang Jiang A Parallel Infrastructure on Dynamic EPIC SMT. Search on Bibsonomy ICA3PP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38Annie P. Foong, Gary L. McAlpine, Dave B. Minturn, Greg J. Regnier, Vikram A. Saletore An Architecture for Software-Based iSCSI: Experiences and Analyses. Search on Bibsonomy NETWORKING The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Asymmetric Multiprocessing, TCP optimization, iSCSI
32Yuxing Tang, Kun Deng, Xingming Zhou The Design Space of CMP vs. SMT for High Performance Embedded Processor. Search on Bibsonomy ICESS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Tomasz Madajczak, Henryk Krawczyk Integrating SHECS-Based Critical Sections with Hardware SMP Scheduler in TLP-CMPs. Search on Bibsonomy PARELEC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26David K. Tam, Reza Azimi, Michael Stumm Thread clustering: sharing-aware scheduling on SMP-CMP-SMT multiprocessors. Search on Bibsonomy EuroSys The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cache behavior, detecting sharing, performance monitoring unit, single-chip multiprocessors, thread placement, resource allocation, CMP, multithreading, sharing, SMP, simultaneous multithreading, SMT, shared caches, cache locality, thread scheduling, thread migration, hardware performance monitors, hardware performance counters, affinity scheduling
26Xiaofeng Guo, Jinquan Dai, Long Li, Zhiyuan Lv, Prashant R. Chandra Latency Hiding in Multi-Threading and Multi-Processing of Network Applications. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Eberle A. Rambo, Olav P. Henschel, Luiz C. V. dos Santos On ESL verification of memory consistency for system-on-chip multiprocessing. Search on Bibsonomy DATE The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
25Simone Campanoni, Timothy M. Jones 0001, Glenn H. Holloway, Vijay Janapa Reddi, Gu-Yeon Wei, David M. Brooks HELIX: automatic parallelization of irregular programs for chip multiprocessing. Search on Bibsonomy CGO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
25Wolfgang Puffitsch, Martin Schoeberl On the scalability of time-predictable chip-multiprocessing. Search on Bibsonomy JTRES The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
25Eberle A. Rambo, Olav P. Henschel, Luiz C. V. dos Santos Automatic generation of memory consistency tests for chip multiprocessing. Search on Bibsonomy ICECS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
25Nikita Nikitin, Satrajit Chatterjee, Jordi Cortadella, Michael Kishinevsky, Ümit Y. Ogras Physical-Aware Link Allocation and Route Assignment for Chip Multiprocessing. Search on Bibsonomy NOCS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
25Martin Schoeberl, Peter P. Puschner Is Chip-Multiprocessing the End of Real-Time Scheduling?. Search on Bibsonomy WCET The full citation details ... 2009 DBLP  BibTeX  RDF
25Kazutoshi Kobayashi, Masao Aramoto, Hidetoshi Onodera A Resource-Shared VLIW Processor for Low-Power On-Chip Multiprocessing in the Nanometer Era. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Kazutoshi Kobayashi, Masao Aramoto, Yoichi Yuyama, Akihiko Higuchi, Hidetoshi Onodera A resource-shared VLIW processor architecture for area-efficient on-chip multiprocessing. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Per Stenström The Chip-Multiprocessing Paradigm Shift: Opportunities and Challenges. Search on Bibsonomy HiPEAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Bernard Goossens, Duc Thang Vu On-Chip Multiprocessing. Search on Bibsonomy Euro-Par, Vol. II The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
23Feihui Li, Chrysostomos Nicopoulos, Thomas D. Richardson, Yuan Xie 0001, Narayanan Vijaykrishnan, Mahmut T. Kandemir Design and Management of 3D Chip Multiprocessors Using Network-in-Memory. Search on Bibsonomy ISCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Guochun Shi, Volodymyr V. Kindratenko, Frederico Pratas, Pedro Trancoso, Michael Gschwind Application Acceleration with the Cell Broadband Engine. Search on Bibsonomy Comput. Sci. Eng. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF PowerXCell 8i processor, chip architecture, data-intensive application architectures, compute-intensive processing, Cell Broadband Engine, chip multiprocessing
22Christopher B. Colohan, Anastassia Ailamaki, J. Gregory Steffan, Todd C. Mowry Incrementally parallelizing database transactions with thread-level speculation. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF incremental parallelization, Thread-level speculation, chip-multiprocessing, optimistic concurrency
22Antonia Zhai, J. Gregory Steffan, Christopher B. Colohan, Todd C. Mowry Compiler and hardware support for reducing the synchronization of speculative threads. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF automatic parallelization, instruction scheduling, Thread-level speculation, chip-multiprocessing
22Guangyu Chen, Feihui Li, Seung Woo Son 0001, Mahmut T. Kandemir Application mapping for chip multiprocessors. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF NoC (Network on Chip), compilers, power optimization, chip multiprocessing, application mapping
22Ozcan Ozturk 0001, Guilin Chen, Mahmut T. Kandemir Optimizing code parallelization through a constraint network based approach. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF compiler, constraint network, chip multiprocessing
22Jason Cong, Ashok Jagannathan, Glenn Reinman, Yuval Tamir Understanding the energy efficiency of SMT and CMP with multiclustering. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF energy efficiency, simultaneous multithreading, chip multiprocessing
20Christof Pitter, Martin Schoeberl Performance evaluation of a java chip-multiprocessor. Search on Bibsonomy SIES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Lawrence Spracklen, Santosh G. Abraham Chip Multithreading: Opportunities and Challenges. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Björn Jäger, Jörg-Christian Niemann, Ulrich Rückert 0001 Analytical approach to massively parallel architectures for nanotechnologies. Search on Bibsonomy ASAP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Mahmut T. Kandemir, Wei Zhang 0002, Mustafa Karaköy Runtime Code Parallelization for On-Chip Multiprocessors. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Christof Pitter, Martin Schoeberl Towards a Java multiprocessor. Search on Bibsonomy JTRES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Java, multiprocessor, shared memory
18Xiaofang Wang, Sotirios G. Ziavras Performance-Energy Tradeoffs for Matrix Multiplication on FPGA-Based Mixed-Mode Chip Multiprocessors. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Björn Jäger, Mario Porrmann, Ulrich Rückert 0001 Bio-inspired massively parallel architectures for nanotechnologies. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Yingmin Li, David M. Brooks, Zhigang Hu, Kevin Skadron Performance, Energy, and Thermal Considerations for SMT and CMP Architectures. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Alexandra Fedorova, Christopher Small 0001, Daniel Nussbaum, Margo I. Seltzer Chip multithreading systems need a new operating system scheduler. Search on Bibsonomy ACM SIGOPS European Workshop The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Stefanos Kaxiras, Girija J. Narlikar, Alan D. Berenbaum, Zhigang Hu Comparing power consumption of an SMT and a CMP DSP for mobile phone workloads. Search on Bibsonomy CASES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Chen-Yong Cher, Michael Gschwind Cell GC: using the cell synergistic processor as a garbage collection coprocessor. Search on Bibsonomy VEE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF BDW, SPU, explicitly managed memory hierarchies, local store, garbage collection, accelerator, SPE, coprocessor, cell, mark-sweep
15Miquel Moretó, Francisco J. Cazorla, Alex Ramírez, Mateo Valero MLP-Aware Dynamic Cache Partitioning. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Christof Pitter, Martin Schoeberl Time Predictable CPU and DMA Shared Memory Access. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Dimitrios S. Nikolopoulos Facing the challenges of multicore processor technologies using autonomic system software. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Luiz André Barroso The price of performance. Search on Bibsonomy ACM Queue The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Jiwei Lu, Abhinav Das, Wei-Chung Hsu, Khoa Nguyen, Santosh G. Abraham Dynamic Helper Threaded Prefetching on the Sun UltraSPARC CMP Processor. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Scott Schneider 0001, Christos D. Antonopoulos, Dimitrios S. Nikolopoulos Factory: An Object-Oriented Parallel Programming Substrate for Deep Multiprocessors. Search on Bibsonomy HPCC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Multithreading substrate, Object-oriented parallel programming, Deep parallel architectures, Multiparadigm parallelism, Portability, Programmability
15Annie P. Foong, Gary L. McAlpine, Dave B. Minturn, Greg J. Regnier, Vikram A. Saletore An Architecture for Software-Based iSCSI on Multiprocessor Servers. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Ruchira Sasanka, Sarita V. Adve, Yen-Kuang Chen, Eric Debes The energy efficiency of CMP vs. SMT for multimedia workloads. Search on Bibsonomy ICS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF multimedia, energy efficiency, CMP, SMT
Displaying result #1 - #50 of 50 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license