The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase cycle-true (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1994-2006 (15) 2008-2021 (6)
Publication types (Num. hits)
article(3) inproceedings(18)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 16 occurrences of 15 keywords

Results
Found 21 publication records. Showing 21 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
47Lovic Gauthier, Ahmed Amine Jerraya Cycle-True Simulation of the ST10 Microcontroller Including the Core and the Peripherals. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2000 DBLP  DOI  BibTeX  RDF cycle-true, simulator, microcontroller
34Shankar Mahadevan, Federico Angiolini, Jens Sparsø, Luca Benini, Jan Madsen A Reactive and Cycle-True IP Emulator for MPSoC Exploration. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Daniel Eckerbert, Per Larsson-Edefors Cycle-true leakage current modeling for CMOS gates. Search on Bibsonomy ISCAS (5) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Luca Zulberti, Pietro Nannipieri, Luca Fanucci A Script-Based Cycle-True Verification Framework to Speed-Up Hardware and Software Co-Design of System-on-Chip exploiting RISC-V Architecture. Search on Bibsonomy DTIS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Oliver Wahlen, Manuel Hohenauer, Gunnar Braun, Rainer Leupers, Gerd Ascheid, Heinrich Meyr, Xiaoning Nie Extraction of Efficient Instruction Schedulers from Cycle-True Processor Models. Search on Bibsonomy SCOPES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Lovic Gauthier, Ahmed Amine Jerraya Cycle-True Simulation of the ST10 Microcontroller. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Mladen Berekovic, Tim Niggemeier A Distributed, Simultaneously Multi-Threaded (SMT) Processor with Clustered Scheduling Windows for Scalable DSP Performance. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF clustering, distributed computing, DSP, MPEG-4, multi-threading, processor architecture, SMT
15Shailesh Sutarwala, Pierre G. Paulin Flexible modeling environment for embedded systems design. Search on Bibsonomy CODES The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
11Patrick Schaumont, Serge Vernalde, Luc Rijnders, Marc Engels, Ivo Bolsens Synthesis of multi-rate and variable rate circuits for high speed telecommunications applications. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
11Patrick Schaumont, Bo-Cheng Charles Lai, Wei Qin, Ingrid Verbauwhede Cooperative multithreading on 3mbedded multiprocessor architectures enables energy-scalable design. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
7Maarten Wiggers, Marco Bekooij, Gerard J. M. Smit Monotonicity and run-time scheduling. Search on Bibsonomy EMSOFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF simulation, real-time, dataflow, multi-processor
7Raymond Frijns, Hamed Fatemi, Bart Mesman, Henk Corporaal DC-SIMD : Dynamic communication for SIMD processors. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
7Jason Cong, Karthik Gururaj, Guoling Han, Adam Kaplan, Mishali Naik, Glenn Reinman MC-Sim: an efficient simulation tool for MPSoC designs. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
7Patrick Schaumont, Doris Ching, Ingrid Verbauwhede An interactive codesign environment for domain-specific coprocessors. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF hardware description language, hardware-software codesign, Cosimulation
7Patrick Schaumont, Sandeep K. Shukla, Ingrid Verbauwhede Design with race-free hardware semantics. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
7Milan Pastrnak, Peter H. N. de With, Jef L. van Meerbergen Realization of QoS management using negotiation algorithms for multiprocessor NoC. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
7Patrick Schaumont, Sandeep K. Shukla, Ingrid Verbauwhede Extended abstract: a race-free hardware modeling language. Search on Bibsonomy MEMOCODE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
7Shankar Mahadevan, Federico Angiolini, Michael Storgaard, Rasmus Grøndahl Olsen, Jens Sparsø, Jan Madsen A Network Traffic Generator Model for Fast Network-on-Chip Simulation. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
7Frédéric Pétrot, Pascal Gomez Lightweight Implementation of the POSIX Threads API for an On-Chip MIPS Multiprocessor with VCI Interconnect. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
7Abhijit K. Deb, Johnny Öberg, Axel Jantsch Simulation and Analysis of Embedded DSP Systems Using Petri Nets. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
7Volker Aue, Johannes Kneip, Matthias Weiss, Michael Bolle, Gerhard P. Fettweis A Design Methodology for High Performance IC's: Wireless Broadband Radio Baseband Case Study. Search on Bibsonomy DSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #21 of 21 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license