The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase design-for-yield (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-2006 (16) 2007-2020 (12)
Publication types (Num. hits)
article(7) inproceedings(21)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 32 occurrences of 25 keywords

Results
Found 28 publication records. Showing 28 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
42Markus Bühler, Jürgen Koehl, Jeanne Bickford, Jason Hibbeler, Ulf Schlichtmann, Ralf Sommer, Michael Pronath, Andreas Ripp DFM/DFY design for manufacturability and yield - influence of process variations in digital, analog and mixed-signal circuit design. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Yu-Tsao Hsing, Chih-Wea Wang, Ching-Wei Wu, Chih-Tsun Huang, Cheng-Wen Wu Failure Factor Based Yield Enhancement for SRAM Designs. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Giuseppe Nicosia, Giovanni Stracquadanio A Design-for-Yield Algorithm to Assess and Improve the Structural and Energetic Robustness of Proteins and Drugs. Search on Bibsonomy SEA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26Shi-Hao Chen, Ke-Cheng Chu, Jiing-Yuan Lin, Cheng-Hong Tsai DFM/DFY practices during physical designs for timing, signal integrity, and power. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 0.13 micron, DFY, dynamic IR drop, process variation, physical designs, DFM, design for manufacturability, signal integrity, timing integrity, yield analysis, design for yield
23Thomas W. Williams Design for Testability: The Path to Deep Submicron. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16YuHua Cheng A glance of technology efforts for design-for-manufacturing in nano-scale CMOS processes. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF nano-CMOS IC design, IC design methodology, CMOS design technology platform, design-for-manufacturing (DFM), design-for-yield
16Qiang Zhou 0001, Yici Cai, Duo Li, Xianlong Hong A Yield-Driven Gridless Router. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF gridless routing, integrated circuit layout, critical area, design for yield
16Philipp V. Panitz, Markus Olbrich, Erich Barke, Jürgen Koehl Robust wiring networks for DfY considering timing constraints. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF redundant wiring, timing constraint aware, open defects, design for yield
16Shishpal Rawat, Raul Camposano, Andrew B. Kahng, Joseph Sawicki, Mike Gianfagna, Naeem Zafar, Atul Sharan DFM: where's the proof of value? Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF ROI, DFM, design for manufacture, OPC, RET, yield optimization, design for yield
15Srikanth Venkataraman, Pongpachara Limpisathian, Pascal Meinerzhagen, Suriyaprakash Natarajan, Eric Yang Automating Design For Yield: Silicon Learning to Predictive Models and Design Optimization. Search on Bibsonomy ITC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Johanna Sepúlveda T1B: Special session: Data analytics driven design for yield, manufacturability and reliability: Where machine learning meets design automation. Search on Bibsonomy SoCC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Marc E. Levitt Design for Manufacturing? Design for Yield!!! Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15David M. Wu DFT is all I can afford, who cares about Design for Yield or Design for Reliability! Search on Bibsonomy ITC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Song Peng, Rajit Manohar Yield enhancement of asynchronous logic circuits through 3-dimensional integration technology. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF asynchronous circuits, yield, defect tolerance, 3D integration, self-reconfiguration
13Suriyaprakash Natarajan, Andres F. Malavasi, Pascal Andreas Meinerzhagen Automated Design For Yield Through Defect Tolerance. Search on Bibsonomy VTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
13Soowang Park, Sandeep K. Gupta 0001 Cache Design for Yield-per-Area Maximization: Switchable Spare Columns with Disabling (SSC-Disable). Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13R. Lajmi, Florian Cacho, Estelle Lauga-Larroze, Sylvain Bourdel, Ph. Benech, Vincent Huard, X. Federspiel Characterization of Low Drop-Out during ageing and design for yield. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
13Nishant Patil, Subhasish Mitra, Steven S. Lumetta Signature Analyzer Design for Yield Learning Support. Search on Bibsonomy ITC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Saibal Mukhopadhyay, Arijit Raychowdhury, Hamid Mahmoodi-Meimand, Kaushik Roy 0001 Leakage Current Based Stabilization Scheme for Robust Sense-Amplifier Design for Yield Enhancement in Nano-scale SRAM. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Yervant Zorian, Dimitris Gizopoulos, Cary Vandenberg, Philippe Magarshack Guest Editors' Introduction: Design for Yield and Reliability. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13D. M. H. Walker Design for Yield and Reliability is MORE Important Than DFT. Search on Bibsonomy ITC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
13M. Eisele, Jörg Berthold, Doris Schmitt-Landsiedel, R. Mahnkopf The impact of intra-die device parameter variations on path delays and on the design for yield of low voltage digital circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
13M. Eisele, Jörg Berthold, Doris Schmitt-Landsiedel, R. Mahnkopf The impact of intra-die device parameter variations on path delays and on the design for yield of low voltage digital circuits. Search on Bibsonomy ISLPED The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
9Robert C. Aitken, Sachin Idgunji Worst-case design and margin for embedded SRAM. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Sy-Yen Kuo, W. Kent Fuchs Spare Allocation and Reconfiguration in Large Area VLSI. Search on Bibsonomy DAC The full citation details ... 1988 DBLP  BibTeX  RDF
7Jamil Kawa, Charles C. Chiang DFM issues for 65nm and beyond. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF DFY, DFM
7Vladimir Hahanov 2005 IEEE East-West Design and Test Workshop. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF EWDTW 2005, formal verification, fault diagnosis, debug, BIST, EDA, system-level modeling
7Shyue-Kung Lu, Jen-Sheng Shih, Shih-Chang Huang Design-for-testability and fault-tolerant techniques for FFT processors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #28 of 28 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license