Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
61 | Yau-Tsun Steven Li, Sharad Malik, Andrew Wolfe |
Cache modeling for real-time software: beyond direct mapped instruction caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTSS ![In: Proceedings of the 17th IEEE Real-Time Systems Symposium (RTSS '96), December 4-6, 1996, Washington, DC, USA, pp. 254-263, 1996, IEEE Computer Society, 0-8186-7689-2. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
direct mapped instruction caches, worst case timing analysis, cache hits, set associative instruction caches, unified caches, cinderella, research, integer-linear-programming, worst case execution time, data caches, cache storage, design tool, memory performance, cache misses, real-time software, tight bound, cache modeling, hardware system |
54 | Chuanjun Zhang |
Balanced Cache: Reducing Conflict Misses of Direct-Mapped Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 33rd International Symposium on Computer Architecture (ISCA 2006), June 17-21, 2006, Boston, MA, USA, pp. 155-166, 2006, IEEE Computer Society, 0-7695-2608-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
53 | Dimitrios Stiliadis, Anujan Varma |
Selective Victim Caching: A Method to Improve the Performance of Direct-Mapped Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 46(5), pp. 603-610, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
data cache, instruction cache, cache simulation, Victim cache, direct-mapped cache |
47 | Brad Calder, Dirk Grunwald, Joel S. Emer |
Predictive Sequential Associative Cache. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the Second International Symposium on High-Performance Computer Architecture, San Jose, CA, USA, February 3-7, 1996, pp. 244-253, 1996, IEEE Computer Society, 0-8186-7237-4. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
predictive sequential associative cache, miss rate, prediction sources, storage management, memory architecture, content-addressable storage, access time, direct-mapped cache, access latency |
46 | Chuanjun Zhang |
Balanced instruction cache: reducing conflict misses of direct-mapped caches through balanced subarray accesses. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Comput. Archit. Lett. ![In: IEEE Comput. Archit. Lett. 5(1), pp. 2-5, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
39 | Kenichi Yoshida, Fuminori Adachi, Takashi Washio, Hiroshi Motoda, Teruaki Homma, Akihiro Nakashima, Hiromitsu Fujikawa, Katsuyuki Yamazaki |
Density-based spam detector. ![Search on Bibsonomy](Pics/bibsonomy.png) |
KDD ![In: Proceedings of the Tenth ACM SIGKDD International Conference on Knowledge Discovery and Data Mining, Seattle, Washington, USA, August 22-25, 2004, pp. 486-493, 2004, ACM, 1-58113-888-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
document space density, unsupervised learning, spam, direct-mapped cache |
39 | Johnson Kin, Munish Gupta, William H. Mangione-Smith |
The Filter Cache: An Energy Efficient Memory Structure. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the Thirtieth Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 30, Research Triangle Park, North Carolina, USA, December 1-3, 1997, pp. 184-193, 1997, ACM/IEEE Computer Society, 0-8186-7977-8. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
direct mapped 256-byte filter cache, energy efficient memory structure, on-chip caches, static RAM, microprocessors, microprocessor chips, power reduction, embedded applications, L2 cache, filter cache, L1 cache |
39 | Craig B. Stunkel, W. Kent Fuchs |
An Analysis of Cache Performance for a Hypercube Multicomputer. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 3(4), pp. 421-432, 1992. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
Intel iPSC/2, processornodes, direct-mapped cache performance, application-specific datapartitioning, communication distribution, communication frequency, system accesses, user code, time distribution, message-passing code, performance evaluation, parallel programming, hypercube networks, storage management, buffer storage, parallel application, hypercube multicomputer, code analysis, cache simulation, address traces, data access patterns |
38 | Chuanjun Zhang |
An efficient direct mapped instruction cache for application-specific embedded systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2005, Jersey City, NJ, USA, September 19-21, 2005, pp. 45-50, 2005, ACM, 1-59593-161-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
efficient cache design, instruction cache, low power cache |
38 | Brian N. Bershad, Dennis Lee 0001, Theodore H. Romer, J. Bradley Chen |
Avoiding Conflict Misses Dynamically in Large Direct-Mapped Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: ASPLOS-VI Proceedings - Sixth International Conference on Architectural Support for Programming Languages and Operating Systems, San Jose, California, USA, October 4-7, 1994., pp. 158-170, 1994, ACM Press, 0-89791-660-3. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
38 | Jia-Jhe Li, Yuan-Shin Hwang |
Indirect-Mapped Caches: Approximating Set-Associativity with Direct-Mapped Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CDES ![In: Proceedings of the 2009 International Conference on Computer Design, CDES 2009, July 13-16, 2009, Las Vegas Nevada, USA, pp. 164-170, 2009, CSREA Press, 1-60132-096-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP BibTeX RDF |
|
32 | Pepijn J. de Langen, Ben H. H. Juurlink |
Reducing traffic generated by conflict misses in caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conf. Computing Frontiers ![In: Proceedings of the First Conference on Computing Frontiers, 2004, Ischia, Italy, April 14-16, 2004, pp. 235-239, 2004, ACM, 1-58113-741-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
caches, embedded processors, power reduction, conflict misses |
32 | Ben H. H. Juurlink, Pepijn J. de Langen |
Dynamic techniques to reduce memory traffic in embedded systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conf. Computing Frontiers ![In: Proceedings of the First Conference on Computing Frontiers, 2004, Ischia, Italy, April 14-16, 2004, pp. 192-201, 2004, ACM, 1-58113-741-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
memory traffic, caches, power consumption, embedded processors |
32 | Brannon Batson, T. N. Vijaykumar |
Reactive-Associative Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: 2001 International Conference on Parallel Architectures and Compilation Techniques (PACT 2001), 8-12 September 2001, Barcelona, Spain, pp. 49-60, 2001, IEEE Computer Society, 0-7695-1363-8. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
31 | David C. Wong 0002, Edward W. Davis, Jeffrey O. Young |
A Software Approach to Avoiding Spatial Cache Collisions in Parallel Processor Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 9(6), pp. 601-608, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
Cache collision, cache offset, highly parallel systems, sequential DO-loops, direct-mapped cache |
31 | Mark D. Hill, Alan Jay Smith |
Evaluating Associativity in CPU Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 38(12), pp. 1612-1630, 1989. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
CPU caches, cache miss ratio, forest simulation, all-associativity simulation, stack simulation, associativity, buffer storage, content-addressable storage, direct-mapped, set-associative |
31 | James E. Smith, James R. Goodman |
Instruction Cache Replacement Policies and Organizations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 34(3), pp. 234-241, 1985. The full citation details ...](Pics/full.jpeg) |
1985 |
DBLP DOI BibTeX RDF |
fully associative, loop model, Cache memories, replacement algorithms, memory organization, direct-mapped, set-associative |
30 | Mirza Omer Beg, Peter van Beek |
A graph theoretic approach to cache-conscious placement of data for direct mapped caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISMM ![In: Proceedings of the 9th International Symposium on Memory Management, ISMM 2010, Toronto, Ontario, Canada, June 5-6, 2010, pp. 113-120, 2010, ACM, 978-1-4503-0054-4. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
cache consciousness, data placement in cache, offline algorithms, memory management, cache optimization |
30 | Raimund Kirner, Peter P. Puschner |
Time-Predictable Task Preemption for Real-Time Systems with Direct-Mapped Instruction Cache. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISORC ![In: Tenth IEEE International Symposium on Object-Oriented Real-Time Distributed Computing (ISORC 2007), 7-9 May 2007, Santorini Island, Greece, pp. 87-93, 2007, IEEE Computer Society, 0-7695-2765-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
30 | Paolo D'Alberto, Alexandru Nicolau, Alexander V. Veidenbaum, Rajesh K. Gupta 0001 |
Line Size Adaptivity Analysis of Parameterized Loop Nests for Direct Mapped Data Cache. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 54(2), pp. 185-197, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Cache-line size adaptivity, parameterized loop nests, interference, spatial locality |
30 | Shmuel Gal, Yona Hollander, Alon Itai |
Optimal Mapping in Direct Mapped Cache Environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISTCS ![In: Theory of Computing and Systems, ISTCS'92, Israel Symposium, Haifa, Israel, May 1992, pp. 91-102, 1992, Springer, 3-540-55553-6. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
24 | Chuanjun Zhang |
Reducing cache misses through programmable decoders. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 4(4), pp. 5:1-5:31, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
low power, Cache, dynamic optimization |
24 | Yoav Etsion, Dror G. Feitelson |
L1 Cache Filtering Through Random Selection of Memory References. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: 16th International Conference on Parallel Architectures and Compilation Techniques (PACT 2007), Brasov, Romania, September 15-19, 2007, pp. 235-244, 2007, IEEE Computer Society, 0-7695-2944-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
24 | Jung-Hoon Lee, Seh-Woong Jeong, Shin-Dug Kim, Charles C. Weems |
An Intelligent Cache System with Hardware Prefetching for High Performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 52(5), pp. 607-616, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
dual data cache, prefetching, Memory hierarchy, temporal locality, spatial locality |
24 | Paul Racunas, Yale N. Patt |
Partitioned first-level cache design for clustered microarchitectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 17th Annual International Conference on Supercomputing, ICS 2003, San Francisco, CA, USA, June 23-26, 2003, pp. 22-31, 2003, ACM, 1-58113-733-8. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
partitioned cache, clustered microarchitecture |
24 | Jung-Hoon Lee, Jang-Soo Lee, Shin-Dug Kim |
A Selective Temporal and Aggressive Spatial Cache System Based on Time Interval. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: Proceedings of the IEEE International Conference On Computer Design: VLSI In Computers & Processors, ICCD '00, Austin, Texas, USA, September 17-20, 2000, pp. 287-293, 2000, IEEE Computer Society, 0-7695-0801-4. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
24 | Thomas J. Murray 0002, A. Wayne Madison, James Westall |
Lookahead page placement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Southeast Regional Conference ![In: Proceedings of the 33th Annual Southeast Regional Conference, 1995, Clemson, South Carolina, USA, March 17-18, 1995, pp. 146-155, 1995, ACM, 978-0-89791-747-6. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
24 | Scott McFarling |
Program Optimization for Instruction Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: ASPLOS-III Proceedings - Third International Conference on Architectural Support for Programming Languages and Operating Systems, Boston, Massachusetts, USA, April 3-6, 1989., pp. 183-191, 1989, ACM Press, 0-89791-300-0. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
RISC |
22 | Hossein Chalangar, Tarek Ould Bachir, Keyhan Sheshyekani, Jean Mahseredjian |
A Direct Mapped Method for Accurate Modeling and Real-Time Simulation of High Switching Frequency Resonant Converters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Ind. Electron. ![In: IEEE Trans. Ind. Electron. 68(7), pp. 6348-6357, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
22 | Hossein Chalangar, Tarek Ould Bachir, Keyhan Sheshyekani, Jean Mahseredjian |
A direct mapped method for accurate modeling and real-time simulation of high switching frequency resonant converters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2006.04155, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP BibTeX RDF |
|
22 | Alaa R. Alameldeen, Rajat Agarwal |
Opportunistic compression for direct-mapped DRAM caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MEMSYS ![In: Proceedings of the International Symposium on Memory Systems, MEMSYS 2018, Old Town Alexandria, VA, USA, October 01-04, 2018, pp. 129-136, 2018, ACM, 978-1-4503-6475-1. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
22 | Dongwoo Lee, Sang-Heon Lee 0006, Soojung Ryu, Kiyoung Choi |
Dirty-Block Tracking in a Direct-Mapped DRAM Cache with Self-Balancing Dispatch. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 14(2), pp. 11:1-11:25, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
22 | Noboru Murabayashi, Kenichi Yoshida |
Similar video detection using multiple direct-mapped cache. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Intell. Syst. Technol. Appl. ![In: Int. J. Intell. Syst. Technol. Appl. 12(3/4), pp. 178-193, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
22 | Sidharta Andalam, Alain Girault, Roopak Sinha, Partha S. Roop, Jan Reineke 0001 |
Precise timing analysis for direct-mapped caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: The 50th Annual Design Automation Conference 2013, DAC '13, Austin, TX, USA, May 29 - June 07, 2013, pp. 148:1-148:10, 2013, ACM, 978-1-4503-2071-9. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
22 | Noboru Murabayashi, Kenichi Yoshida |
Copied video detection with MPEG-7 video signature and multiple direct-mapped cache. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HIS ![In: 11th International Conference on Hybrid Intelligent Systems, HIS 2011, Melacca, Malaysia, December 5-8, 2011, pp. 190-195, 2011, IEEE, 978-1-4577-2151-9. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
22 | Wei Zhang 0002, Jun Yan 0008 |
Accurately Estimating Worst-Case Execution Time for Multi-core Processors with Shared Direct-Mapped Instruction Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTCSA ![In: 15th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, RTCSA 2009, Beijing, China, 24-26 August 2009, pp. 455-463, 2009, IEEE Computer Society, 978-0-7695-3787-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
22 | Yi-Cheng Lin, Yi-Ying Tsai, Kuen-Jong Lee, Cheng-Wei Yen, Chung-Ho Chen |
A Software-Based Test Methodology for Direct-Mapped Data Cache. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ATS ![In: 17th IEEE Asian Test Symposium, ATS 2008, Sapporo, Japan, November 24-27, 2008, pp. 363-368, 2008, IEEE Computer Society, 978-0-7695-3396-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
22 | Nagm Eldin Mohamed, Adil Akaaboune, Nazeih Botros |
Lethargic Cache: A Low Leakage Direct Mapped Cache. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Low Power Electron. ![In: J. Low Power Electron. 3(2), pp. 119-123, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
22 | Carsten Scholtes |
A method to derive the cache performance of irregular applications on machines with direct mapped caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Comput. Sci. Eng. ![In: Int. J. Comput. Sci. Eng. 1(2/3/4), pp. 157-174, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
22 | Carsten Scholtes |
Abschätzung der Fehlzugriffe bei dünn besetzten Matrixoperationen auf Architekturen mit einem direct mapped Cache ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2003 |
RDF |
|
22 | Ramon Doallo, Basilio B. Fraguela, Emilio L. Zapata |
Direct mapped cache performance modeling for sparse matrix operations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDP ![In: Proceedings of the Seventh Euromicro Workshop on Parallel and Distributed Processing. PDP'99, University of Madeira, Funchal, Portugal, February 3-5, 1999, pp. 331-338, 1999, IEEE Computer Society, 0-7695-0059-5. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
22 | Sultan M. Al-Harbi, Sandeep K. Gupta 0001 |
A Methodology for Transforming Memory Tests for In-System Testing of Direct Mapped Cache Tags. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 16th IEEE VLSI Test Symposium (VTS '98), 28 April - 1 May 1998, Princeton, NJ, USA, pp. 394-400, 1998, IEEE Computer Society, 0-8186-8436-4. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
22 | Norman P. Jouppi |
Improving Direct-Mapped Cache Performance by the Addition of a Small Fully-Associative Cache Prefetch Buffers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
25 Years ISCA: Retrospectives and Reprints ![In: 25 Years of the International Symposia on Computer Architecture (Selected Papers)., pp. 388-397, 1998, ACM, 1-58113-058-9. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
22 | Norman P. Jouppi |
Retrospective: Improving Direct-Mapped Cache Performance by the Addition of a Small Fully-Associative Cache and Prefetch Buffers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
25 Years ISCA: Retrospectives and Reprints ![In: 25 Years of the International Symposia on Computer Architecture (Selected Papers)., pp. 71-73, 1998, ACM, 1-58113-058-9. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
22 | Jude A. Rivers, Edward S. Davidson |
Reducing Conflicts in Direct-Mapped Caches with a Temporality-Based Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP, Vol. 1 ![In: Proceedings of the 1996 International Conference on Parallel Processing, ICCP 1996, Bloomingdale, IL, USA, August 12-16, 1996. Volume 1: Architecture., pp. 154-163, 1996, IEEE Computer Society, 0-8186-7623-X. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
22 | Nathalie Drach, André Seznec, Daniel Windheiser |
Direct-mapped versus set-associative pipelined caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: Proceedings of the IFIP WG10.3 working conference on Parallel architectures and compilation techniques, PACT '95, Limassol, Cyprus, June 27-29, 1995, pp. 79-88, 1995, IFIP Working Group on Algol / ACM. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP BibTeX RDF |
|
22 | Shmuel Gal, Yona Hollander, Alon Itai |
Optimal mapping in direct mapped cache environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Math. Program. ![In: Math. Program. 63, pp. 371-387, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
22 | Dimitrios Stiliadis, Anujan Varma |
Selective Victim Caching: A Method to Improve the Performance of Direct-Mapped Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS (1) ![In: 27th Annual Hawaii International Conference on System Sciences (HICSS-27), January 4-7, 1994, Maui, Hawaii, USA, pp. 412-421, 1994, IEEE Computer Society, 0-8186-5090-7. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP BibTeX RDF |
|
22 | Anant Agarwal, Steven D. Pudar |
Column-Associative Caches: A Technique for Reducing the Miss Rate of Direct-Mapped Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 20th Annual International Symposium on Computer Architecture, San Diego, CA, USA, May 1993, pp. 179-190, 1993, ACM, 0-8186-3810-9. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
22 | Wen-Hann Wang, Jim Quinlan, Konrad Lai |
Revisit the case for direct-mapped chaches: a case for two-way set-associative level-two caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 19th Annual International Symposium on Computer Architecture. Gold Coast, Australia, May 1992, pp. 437, 1992, ACM, 0-89791-509-7. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
22 | Norman P. Jouppi |
Improving Direct-Mapped Cache Performance by the Addition of a Small Fully-Associative Cache and Prefetch Buffers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 17th Annual International Symposium on Computer Architecture, Seattle, WA, USA, June 1990, pp. 364-373, 1990, ACM, 0-89791-366-3. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
22 | V. S. Madan, C.-J. Peng, Gurindar S. Sohi |
On the Adequacy of Direct Mapped Caches for Lisp and Prolog Data Reference Patterns. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NACLP ![In: Logic Programming, Proceedings of the North American Conference 1989, Cleveland, Ohio, USA, October 16-20, 1989. 2 Volumes, pp. 888-906, 1989, MIT Press, 0-262-62064-2. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP BibTeX RDF |
|
22 | Mark D. Hill |
A Case for Direct-Mapped Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computer ![In: Computer 21(12), pp. 25-40, 1988. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
|
19 | Ashutosh Kulkarni, Navin Chander, Soumya Pillai, Lizy Kurian John |
Modeling and Analysis of The Difference-Bit Cache. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Great Lakes Symposium on VLSI ![In: 8th Great Lakes Symposium on VLSI (GLS-VLSI '98), 19-21 February 1998, Lafayette, LA, USA, pp. 140-145, 1998, IEEE Computer Society, 0-8186-8409-7. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
hit access time, cache mapping strategies*, Cache memory, critical path |
16 | Chuanjun Zhang, Frank Vahid, Walid A. Najjar |
A highly configurable cache for low energy embedded systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 4(2), pp. 363-387, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
embedded systems, low power, Cache, microprocessor, configurable, memory hierarchy, low energy, architecture tuning |
16 | Jung-Wook Park, Cheong-Ghil Kim, Jung-Hoon Lee, Shin-Dug Kim |
An energy efficient cache memory architecture for embedded systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2004 ACM Symposium on Applied Computing (SAC), Nicosia, Cyprus, March 14-17, 2004, pp. 884-890, 2004, ACM, 1-58113-812-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
selective way access, skewed associativity, embedded system, memory hierarchy, low power cache |
16 | Rui Min, Wen-Ben Jone, Yiming Hu |
Location cache: a low-power L2 cache system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2004 International Symposium on Low Power Electronics and Design, 2004, Newport Beach, California, USA, August 9-11, 2004, pp. 120-125, 2004, ACM. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
L1/L2 caches, data location, power, TLB, set-associative caches |
16 | Chuanjun Zhang, Frank Vahid, Walid A. Najjar |
A Highly-Configurable Cache Architecture for Embedded Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 30th International Symposium on Computer Architecture (ISCA 2003), 9-11 June 2003, San Diego, California, USA, pp. 136-146, 2003, IEEE Computer Society, 0-7695-1945-8. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
embedded systems, low power, Cache, microprocessor, configurable, low energy, architecture tuning |
16 | Rui Min, Yiming Hu |
Improving Performance of Large Physically Indexed Caches by Decoupling Memory Addresses from Cache Addresses. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 50(11), pp. 1191-1201, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
Novel memory architectures, cache, memory systems, TLB, performance enhancement |
16 | Stephanie Coleman, Kathryn S. McKinley |
Tile Size Selection Using Cache Organization and Data Layout. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the ACM SIGPLAN'95 Conference on Programming Language Design and Implementation (PLDI), La Jolla, California, USA, June 18-21, 1995, pp. 279-290, 1995, ACM, 0-89791-697-2. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
16 | Richard E. Kessler, Richard Jooss, Alvin R. Lebeck, Mark D. Hill |
Inexpensive Implementations of Set-Associativity. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 16th Annual International Symposium on Computer Architecture. Jerusalem, Israel, June 1989, pp. 131-139, 1989, ACM, 0-89791-319-1. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
|
11 | Jan Staschulat, Rolf Ernst |
Worst case timing analysis of input dependent data cache behavior. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECRTS ![In: 18th Euromicro Conference on Real-Time Systems, ECRTS'06, 5-7 July 2006, Dresden, Germany, Proceedings, pp. 227-236, 2006, IEEE Computer Society, 0-7695-2619-5. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
11 | Edouard Bugnion, Jennifer-Ann M. Anderson, Todd C. Mowry, Mendel Rosenblum, Monica S. Lam |
Compiler-Directed Page Coloring for Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: ASPLOS-VII Proceedings - Seventh International Conference on Architectural Support for Programming Languages and Operating Systems, Cambridge, Massachusetts, USA, October 1-5, 1996., pp. 244-255, 1996, ACM Press, 0-89791-767-7. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
8 | Yu Liu 0037, Wei Zhang 0002 |
Exploiting stack distance to estimate worst-case data cache performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2009 ACM Symposium on Applied Computing (SAC), Honolulu, Hawaii, USA, March 9-12, 2009, pp. 1979-1983, 2009, ACM, 978-1-60558-166-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
stack distance, cache, timing analysis, worst-case execution time |
8 | Andhi Janapsatya, Sri Parameswaran, Aleksandar Ignjatovic |
HitME: low power Hit MEmory buffer for embedded systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 14th Asia South Pacific Design Automation Conference, ASP-DAC 2009, Yokohama, Japan, January 19-22, 2009, pp. 335-340, 2009, IEEE, 978-1-4244-2748-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
8 | Samir Ammenouche, Sid Ahmed Ali Touati, William Jalby |
On Instruction-Level Method for Reducing Cache Penalties in Embedded VLIW Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCC ![In: 11th IEEE International Conference on High Performance Computing and Communications, HPCC 2009, 25-27 June 2009, Seoul, Korea, pp. 196-205, 2009, IEEE, 978-0-7695-3738-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
8 | Jongmin Lee 0002, Soontae Kim |
An energy-delay efficient 2-level data cache architecture for embedded system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2009 International Symposium on Low Power Electronics and Design, 2009, San Fancisco, CA, USA, August 19-21, 2009, pp. 343-346, 2009, ACM, 978-1-60558-684-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
2-level data cache, early cache hit predictor, one-way write |
8 | Kevin Camera, Robert W. Brodersen |
An integrated debugging environment for FPGA computing platforms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the ACM/SIGDA 16th International Symposium on Field Programmable Gate Arrays, FPGA 2008, Monterey, California, USA, February 24-26, 2008, pp. 260, 2008, ACM, 978-1-59593-934-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
simulation, FPGA, design, verification |
8 | Zhenghong Wang, Ruby B. Lee |
A novel cache architecture with enhanced performance and security. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 41st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-41 2008), November 8-12, 2008, Lake Como, Italy, pp. 83-93, 2008, IEEE Computer Society, 978-1-4244-2836-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
8 | Chia-Hsiang Yang, Dejan Markovic |
A Flexible VLSI Architecture for Extracting Diversity and Spatial Multiplexing Gains in MIMO Channels. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICC ![In: Proceedings of IEEE International Conference on Communications, ICC 2008, Beijing, China, 19-23 May 2008, pp. 725-731, 2008, IEEE. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
8 | Kevin Camera, Robert W. Brodersen |
An integrated debugging environment for FPGA computing platforms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPL ![In: FPL 2008, International Conference on Field Programmable Logic and Applications, Heidelberg, Germany, 8-10 September 2008, pp. 311-316, 2008, IEEE, 978-1-4244-1961-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
8 | Hyungmin Cho, Bernhard Egger 0002, Jaejin Lee, Heonshik Shin |
Dynamic data scratchpad memory management for a memory subsystem with an MMU. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES ![In: Proceedings of the 2007 ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'07), San Diego, California, USA, June 13-15, 2007, pp. 195-206, 2007, ACM, 978-1-59593-632-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
horizontally-partitioned memory, post-pass optimization, compilers, scratchpad memory, demand paging |
8 | Rajiv A. Ravindran, Michael L. Chu, Scott A. Mahlke |
Compiler-managed partitioned data caches for low power. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES ![In: Proceedings of the 2007 ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'07), San Diego, California, USA, June 13-15, 2007, pp. 237-247, 2007, ACM, 978-1-59593-632-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
hardware/software co-managed cache, instruction-driven cache management, partitioned cache, low-power, embedded processor |
8 | Fadia Nemer, Hugues Cassé, Pascal Sainrat, Ali Awada |
Improving the Worst-Case Execution Time Accuracy by Inter-Task Instruction Cache Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIES ![In: IEEE Second International Symposium on Industrial Embedded Systems, SIES 2007, Hotel Costa da Caparica, Lisbon, Portugal, July 4-6, 2007, pp. 25-32, 2007, IEEE, 1-4244-0840-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
8 | Ju-Hyun Kim, Gyoung-Hwan Hyun, Hyuk-Jae Lee |
Cache Organizations for H.264/AVC Motion Compensation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTCSA ![In: 13th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA 2007), 21-24 August 2007, Daegu, Korea, pp. 534-541, 2007, IEEE Computer Society, 0-7695-2975-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
8 | Prasanna Palsodkar, Amol Y. Deshmukh, Preeti R. Bajaj, Avinash G. Keskar |
An Approach for Four Way Set Associative Multilevel CMOS Cache Memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
KES (1) ![In: Knowledge-Based Intelligent Information and Engineering Systems, 11th International Conference, KES 2007, XVII Italian Workshop on Neural Networks, Vietri sul Mare, Italy, September 12-14, 2007. Proceedings, Part I, pp. 740-746, 2007, Springer, 978-3-540-74817-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
8 | Kimish Patel, Luca Benini, Enrico Macii, Massimo Poncino |
Reducing Conflict Misses by Application-Specific Reconfigurable Indexing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(12), pp. 2626-2637, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
8 | Sumesh Udayakumaran, Angel Dominguez, Rajeev Barua |
Dynamic allocation for scratch-pad memory using compile-time decisions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 5(2), pp. 472-511, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
embedded systems, compiler, Memory allocation, software caching, scratch pad, software-managed cache |
8 | Hoon-Mo Yang, Gi-Ho Park, Shin-Dug Kim |
Low-Power Data Cache Architecture by Address Range Reconfiguration for Multimedia Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asia-Pacific Computer Systems Architecture Conference ![In: Advances in Computer Systems Architecture, 11th Asia-Pacific Conference, ACSAC 2006, Shanghai, China, September 6-8, 2006, Proceedings, pp. 574-580, 2006, Springer, 3-540-40056-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
embedded system, low-power, multimedia application, cache architecture |
8 | Samuel Rodríguez, Bruce L. Jacob |
Energy/power breakdown of pipelined nanometer caches (90nm/65nm/45nm/32nm). ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006, pp. 25-30, 2006, ACM, 1-59593-462-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
nanometer design, pipelined caches, cache design |
8 | Allan Hartstein, Viji Srinivasan, Thomas R. Puzak, Philip G. Emma |
Cache miss behavior: is it sqrt(2)? ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conf. Computing Frontiers ![In: Proceedings of the Third Conference on Computing Frontiers, 2006, Ischia, Italy, May 3-5, 2006, pp. 313-320, 2006, ACM, 1-59593-302-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
performance, memory hierarchy, cache organization |
8 | Jan Staschulat, Rolf Ernst |
Scalable precision cache analysis for preemptive scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES ![In: Proceedings of the 2005 ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'05), Chicago, Illinois, USA, June 15-17, 2005, pp. 157-165, 2005, ACM, 1-59593-018-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
scheduling, embedded systems, cache, worst case execution time analysis |
8 | Kenichi Yoshida, Fuminori Adachi, Takashi Washio, Hiroshi Motoda, Teruaki Homma, Akihiro Nakashima, Hiromitsu Fujikawa, Katsuyuki Yamazaki |
Memory Management of Density-Based Spam Detector. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAINT ![In: 2005 IEEE/IPSJ International Symposium on Applications and the Internet (SAINT 2005), 31 January - 4 February 2005, Trento, Italy, pp. 370-376, 2005, IEEE Computer Society, 0-7695-2262-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
8 | Kaustubh Patil, Kiran Seth, Frank Mueller 0001 |
Compositional static instruction cache simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES ![In: Proceedings of the 2004 ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'04), Washington, DC, USA, June 11-13, 2004, pp. 136-145, 2004, ACM, 1-58113-806-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
scheduling, real-time systems, caches, worst-case execution time |
8 | Chuanjun Zhang, Frank Vahid |
Using a Victim Buffer in an Application-Specific Memory Hierarchy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2004 Design, Automation and Test in Europe Conference and Exposition (DATE 2004), 16-20 February 2004, Paris, France, pp. 220-227, 2004, IEEE Computer Society, 0-7695-2085-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
8 | Chi F. Chen, Se-Hyun Yang, Babak Falsafi, Andreas Moshovos |
Accurate and Complexity-Effective Spatial Pattern Prediction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 10th International Conference on High-Performance Computer Architecture (HPCA-10 2004), 14-18 February 2004, Madrid, Spain, pp. 276-287, 2004, IEEE Computer Society, 0-7695-2053-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
8 | Kimish Patel, Enrico Macii, Luca Benini, Massimo Poncino |
Reducing cache misses by application-specific re-configurable indexing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2004 International Conference on Computer-Aided Design, ICCAD 2004, San Jose, CA, USA, November 7-11, 2004, pp. 125-130, 2004, IEEE Computer Society / ACM, 0-7803-8702-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
8 | Christophe Guillon, Fabrice Rastello, Thierry Bidault, Florent Bouchez |
Procedure placement using temporal-ordering information: dealing with code size expansion. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the 2004 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2004, Washington DC, USA, September 22 - 25, 2004, pp. 268-279, 2004, ACM, 1-58113-890-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
min-matching, profiling, instruction cache, Hamiltonian-path, cache miss, code size, code placement |
8 | Sriram Nadathur, Akhilesh Tyagi |
IPC Driven Dynamic Associative Cache Architecture for Low Energy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 22nd IEEE International Conference on Computer Design: VLSI in Computers & Processors (ICCD 2004), 11-13 October 2004, San Jose, CA, USA, Proceedings, pp. 472-479, 2004, IEEE Computer Society, 0-7695-2231-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
8 | Jung-Wook Park, Gi-Ho Park, Sung-Bae Park, Shin-Dug Kim |
Power-Aware Deterministic Block Allocation for Low-Power Way-Selective Cache Structure. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 22nd IEEE International Conference on Computer Design: VLSI in Computers & Processors (ICCD 2004), 11-13 October 2004, San Jose, CA, USA, Proceedings, pp. 42-47, 2004, IEEE Computer Society, 0-7695-2231-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
8 | Cheol Hong Kim, Jong Wook Kwak, Seong Tae Jhang, Chu Shik Jhon |
Adaptive Block Management for Victim Cache by Exploiting L1 Cache History Information. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUC ![In: Embedded and Ubiquitous Computing, International Conference EUC 2004, Aizu-Wakamatsu City, Japan, August 25-27, 2004, Proceedings, pp. 1-11, 2004, Springer, 3-540-22906-X. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
Block Management, Low Power, Computer Architecture, Victim Cache |
8 | John Y. Fong, Randy Acklin, John Roscher, Feng Li, Cindy Laird, Cezary Pietrzyk |
Nonvolatile Repair Caches Repair Embedded SRAM and New Nonvolatile Memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFT ![In: 19th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2004), 10-13 October 2004, Cannes, France, Proceedings, pp. 347-355, 2004, IEEE Computer Society, 0-7695-2241-6. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
8 | Pavel Tvrdík, Ivan Simecek |
Performance Optimization and Evaluation for Linear Codes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NAA ![In: Numerical Analysis and Its Applications, Third International Conference, NAA 2004, Rousse, Bulgaria, June 29 - July 3, 2004, Revised Selected Papers, pp. 566-573, 2004, Springer, 3-540-24937-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
8 | A. P. Shanthi, P. Muruganandam, Ranjani Parthasarathi |
Enhancing the Development Based Evolution of Digital Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Evolvable Hardware ![In: 6th NASA / DoD Workshop on Evolvable Hardware (EH 2004), 24-26 June 2004, Seattle, WA, USA, pp. 91-, 2004, IEEE Computer Society, 0-7695-2145-2. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
8 | Rui Min, Zhiyong Xu, Yiming Hu, Wen-Ben Jone |
Partial Tag Comparison: A New Technology for Power-Efficient Set-Associative Cache Designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 17th International Conference on VLSI Design (VLSI Design 2004), with the 3rd International Conference on Embedded Systems Design, 5-9 January 2004, Mumbai, India, pp. 183-188, 2004, IEEE Computer Society, 0-7695-2072-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
8 | Kurt Mehlhorn, Peter Sanders 0001 |
Scanning Multiple Sequences Via Cache Memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Algorithmica ![In: Algorithmica 35(1), pp. 75-93, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
8 | Jung-Hoon Lee, Gi-Ho Park, Shin-Dug Kim |
An Adaptive Multi-Module Cache with Hardware Prefetching Mechanism for Multimedia Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDP ![In: 11th Euromicro Workshop on Parallel, Distributed and Network-Based Processing (PDP 2003), 5-7 February 2003, Genova, Italy, pp. 109-, 2003, IEEE Computer Society, 0-7695-1875-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
8 | Maria Grigoriadou, Maria Toula, Evangelos Kanidis |
Design and Evaluation of a Cache Memory Simulation Program. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICALT ![In: 2003 IEEE International Conference on Advanced Learning Technologies, ICALT 2003, Athens, Greece, July 9-11, 2003, pp. 170-174, 2003, IEEE Computer Society, 0-7695-1967-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
8 | Pavel Tvrdík, Ivan Simecek |
Analytical Modeling of Optimized Sparse Linear Code. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPAM ![In: Parallel Processing and Applied Mathematics, 5th International Conference, PPAM 2003, Czestochowa, Poland, September 7-10, 2003. Revised Papers, pp. 207-216, 2003, Springer, 3-540-21946-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
8 | Jung-Hoon Lee, Shin-Dug Kim, Charles C. Weems |
Application-adaptive intelligent cache memory system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 1(1), pp. 56-78, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
dynamic block fetching and cache memory, general application, media application, Memory hierarchy, temporal locality, spatial locality |