The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase direct-mapped (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1985-1994 (18) 1995-1996 (15) 1997-1999 (18) 2000-2003 (18) 2004 (17) 2005-2007 (22) 2008-2013 (16) 2017-2021 (4)
Publication types (Num. hits)
article(28) inproceedings(99) phdthesis(1)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 173 occurrences of 110 keywords

Results
Found 128 publication records. Showing 128 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
61Yau-Tsun Steven Li, Sharad Malik, Andrew Wolfe Cache modeling for real-time software: beyond direct mapped instruction caches. Search on Bibsonomy RTSS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF direct mapped instruction caches, worst case timing analysis, cache hits, set associative instruction caches, unified caches, cinderella, research, integer-linear-programming, worst case execution time, data caches, cache storage, design tool, memory performance, cache misses, real-time software, tight bound, cache modeling, hardware system
54Chuanjun Zhang Balanced Cache: Reducing Conflict Misses of Direct-Mapped Caches. Search on Bibsonomy ISCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
53Dimitrios Stiliadis, Anujan Varma Selective Victim Caching: A Method to Improve the Performance of Direct-Mapped Caches. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1997 DBLP  DOI  BibTeX  RDF data cache, instruction cache, cache simulation, Victim cache, direct-mapped cache
47Brad Calder, Dirk Grunwald, Joel S. Emer Predictive Sequential Associative Cache. Search on Bibsonomy HPCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF predictive sequential associative cache, miss rate, prediction sources, storage management, memory architecture, content-addressable storage, access time, direct-mapped cache, access latency
46Chuanjun Zhang Balanced instruction cache: reducing conflict misses of direct-mapped caches through balanced subarray accesses. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
39Kenichi Yoshida, Fuminori Adachi, Takashi Washio, Hiroshi Motoda, Teruaki Homma, Akihiro Nakashima, Hiromitsu Fujikawa, Katsuyuki Yamazaki Density-based spam detector. Search on Bibsonomy KDD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF document space density, unsupervised learning, spam, direct-mapped cache
39Johnson Kin, Munish Gupta, William H. Mangione-Smith The Filter Cache: An Energy Efficient Memory Structure. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF direct mapped 256-byte filter cache, energy efficient memory structure, on-chip caches, static RAM, microprocessors, microprocessor chips, power reduction, embedded applications, L2 cache, filter cache, L1 cache
39Craig B. Stunkel, W. Kent Fuchs An Analysis of Cache Performance for a Hypercube Multicomputer. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF Intel iPSC/2, processornodes, direct-mapped cache performance, application-specific datapartitioning, communication distribution, communication frequency, system accesses, user code, time distribution, message-passing code, performance evaluation, parallel programming, hypercube networks, storage management, buffer storage, parallel application, hypercube multicomputer, code analysis, cache simulation, address traces, data access patterns
38Chuanjun Zhang An efficient direct mapped instruction cache for application-specific embedded systems. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF efficient cache design, instruction cache, low power cache
38Brian N. Bershad, Dennis Lee 0001, Theodore H. Romer, J. Bradley Chen Avoiding Conflict Misses Dynamically in Large Direct-Mapped Caches. Search on Bibsonomy ASPLOS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
38Jia-Jhe Li, Yuan-Shin Hwang Indirect-Mapped Caches: Approximating Set-Associativity with Direct-Mapped Caches. Search on Bibsonomy CDES The full citation details ... 2009 DBLP  BibTeX  RDF
32Pepijn J. de Langen, Ben H. H. Juurlink Reducing traffic generated by conflict misses in caches. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF caches, embedded processors, power reduction, conflict misses
32Ben H. H. Juurlink, Pepijn J. de Langen Dynamic techniques to reduce memory traffic in embedded systems. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF memory traffic, caches, power consumption, embedded processors
32Brannon Batson, T. N. Vijaykumar Reactive-Associative Caches. Search on Bibsonomy IEEE PACT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
31David C. Wong 0002, Edward W. Davis, Jeffrey O. Young A Software Approach to Avoiding Spatial Cache Collisions in Parallel Processor Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Cache collision, cache offset, highly parallel systems, sequential DO-loops, direct-mapped cache
31Mark D. Hill, Alan Jay Smith Evaluating Associativity in CPU Caches. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF CPU caches, cache miss ratio, forest simulation, all-associativity simulation, stack simulation, associativity, buffer storage, content-addressable storage, direct-mapped, set-associative
31James E. Smith, James R. Goodman Instruction Cache Replacement Policies and Organizations. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1985 DBLP  DOI  BibTeX  RDF fully associative, loop model, Cache memories, replacement algorithms, memory organization, direct-mapped, set-associative
30Mirza Omer Beg, Peter van Beek A graph theoretic approach to cache-conscious placement of data for direct mapped caches. Search on Bibsonomy ISMM The full citation details ... 2010 DBLP  DOI  BibTeX  RDF cache consciousness, data placement in cache, offline algorithms, memory management, cache optimization
30Raimund Kirner, Peter P. Puschner Time-Predictable Task Preemption for Real-Time Systems with Direct-Mapped Instruction Cache. Search on Bibsonomy ISORC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Paolo D'Alberto, Alexandru Nicolau, Alexander V. Veidenbaum, Rajesh K. Gupta 0001 Line Size Adaptivity Analysis of Parameterized Loop Nests for Direct Mapped Data Cache. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Cache-line size adaptivity, parameterized loop nests, interference, spatial locality
30Shmuel Gal, Yona Hollander, Alon Itai Optimal Mapping in Direct Mapped Cache Environments. Search on Bibsonomy ISTCS The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
24Chuanjun Zhang Reducing cache misses through programmable decoders. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF low power, Cache, dynamic optimization
24Yoav Etsion, Dror G. Feitelson L1 Cache Filtering Through Random Selection of Memory References. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Jung-Hoon Lee, Seh-Woong Jeong, Shin-Dug Kim, Charles C. Weems An Intelligent Cache System with Hardware Prefetching for High Performance. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF dual data cache, prefetching, Memory hierarchy, temporal locality, spatial locality
24Paul Racunas, Yale N. Patt Partitioned first-level cache design for clustered microarchitectures. Search on Bibsonomy ICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF partitioned cache, clustered microarchitecture
24Jung-Hoon Lee, Jang-Soo Lee, Shin-Dug Kim A Selective Temporal and Aggressive Spatial Cache System Based on Time Interval. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
24Thomas J. Murray 0002, A. Wayne Madison, James Westall Lookahead page placement. Search on Bibsonomy ACM Southeast Regional Conference The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
24Scott McFarling Program Optimization for Instruction Caches. Search on Bibsonomy ASPLOS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF RISC
22Hossein Chalangar, Tarek Ould Bachir, Keyhan Sheshyekani, Jean Mahseredjian A Direct Mapped Method for Accurate Modeling and Real-Time Simulation of High Switching Frequency Resonant Converters. Search on Bibsonomy IEEE Trans. Ind. Electron. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
22Hossein Chalangar, Tarek Ould Bachir, Keyhan Sheshyekani, Jean Mahseredjian A direct mapped method for accurate modeling and real-time simulation of high switching frequency resonant converters. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
22Alaa R. Alameldeen, Rajat Agarwal Opportunistic compression for direct-mapped DRAM caches. Search on Bibsonomy MEMSYS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
22Dongwoo Lee, Sang-Heon Lee 0006, Soojung Ryu, Kiyoung Choi Dirty-Block Tracking in a Direct-Mapped DRAM Cache with Self-Balancing Dispatch. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
22Noboru Murabayashi, Kenichi Yoshida Similar video detection using multiple direct-mapped cache. Search on Bibsonomy Int. J. Intell. Syst. Technol. Appl. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
22Sidharta Andalam, Alain Girault, Roopak Sinha, Partha S. Roop, Jan Reineke 0001 Precise timing analysis for direct-mapped caches. Search on Bibsonomy DAC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
22Noboru Murabayashi, Kenichi Yoshida Copied video detection with MPEG-7 video signature and multiple direct-mapped cache. Search on Bibsonomy HIS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Wei Zhang 0002, Jun Yan 0008 Accurately Estimating Worst-Case Execution Time for Multi-core Processors with Shared Direct-Mapped Instruction Caches. Search on Bibsonomy RTCSA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Yi-Cheng Lin, Yi-Ying Tsai, Kuen-Jong Lee, Cheng-Wei Yen, Chung-Ho Chen A Software-Based Test Methodology for Direct-Mapped Data Cache. Search on Bibsonomy ATS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Nagm Eldin Mohamed, Adil Akaaboune, Nazeih Botros Lethargic Cache: A Low Leakage Direct Mapped Cache. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Carsten Scholtes A method to derive the cache performance of irregular applications on machines with direct mapped caches. Search on Bibsonomy Int. J. Comput. Sci. Eng. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Carsten Scholtes Abschätzung der Fehlzugriffe bei dünn besetzten Matrixoperationen auf Architekturen mit einem direct mapped Cache Search on Bibsonomy 2003   RDF
22Ramon Doallo, Basilio B. Fraguela, Emilio L. Zapata Direct mapped cache performance modeling for sparse matrix operations. Search on Bibsonomy PDP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Sultan M. Al-Harbi, Sandeep K. Gupta 0001 A Methodology for Transforming Memory Tests for In-System Testing of Direct Mapped Cache Tags. Search on Bibsonomy VTS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22Norman P. Jouppi Improving Direct-Mapped Cache Performance by the Addition of a Small Fully-Associative Cache Prefetch Buffers. Search on Bibsonomy 25 Years ISCA: Retrospectives and Reprints The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22Norman P. Jouppi Retrospective: Improving Direct-Mapped Cache Performance by the Addition of a Small Fully-Associative Cache and Prefetch Buffers. Search on Bibsonomy 25 Years ISCA: Retrospectives and Reprints The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22Jude A. Rivers, Edward S. Davidson Reducing Conflicts in Direct-Mapped Caches with a Temporality-Based Design. Search on Bibsonomy ICPP, Vol. 1 The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
22Nathalie Drach, André Seznec, Daniel Windheiser Direct-mapped versus set-associative pipelined caches. Search on Bibsonomy PACT The full citation details ... 1995 DBLP  BibTeX  RDF
22Shmuel Gal, Yona Hollander, Alon Itai Optimal mapping in direct mapped cache environments. Search on Bibsonomy Math. Program. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
22Dimitrios Stiliadis, Anujan Varma Selective Victim Caching: A Method to Improve the Performance of Direct-Mapped Caches. Search on Bibsonomy HICSS (1) The full citation details ... 1994 DBLP  BibTeX  RDF
22Anant Agarwal, Steven D. Pudar Column-Associative Caches: A Technique for Reducing the Miss Rate of Direct-Mapped Caches. Search on Bibsonomy ISCA The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
22Wen-Hann Wang, Jim Quinlan, Konrad Lai Revisit the case for direct-mapped chaches: a case for two-way set-associative level-two caches. Search on Bibsonomy ISCA The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
22Norman P. Jouppi Improving Direct-Mapped Cache Performance by the Addition of a Small Fully-Associative Cache and Prefetch Buffers. Search on Bibsonomy ISCA The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
22V. S. Madan, C.-J. Peng, Gurindar S. Sohi On the Adequacy of Direct Mapped Caches for Lisp and Prolog Data Reference Patterns. Search on Bibsonomy NACLP The full citation details ... 1989 DBLP  BibTeX  RDF
22Mark D. Hill A Case for Direct-Mapped Caches. Search on Bibsonomy Computer The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
19Ashutosh Kulkarni, Navin Chander, Soumya Pillai, Lizy Kurian John Modeling and Analysis of The Difference-Bit Cache. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF hit access time, cache mapping strategies*, Cache memory, critical path
16Chuanjun Zhang, Frank Vahid, Walid A. Najjar A highly configurable cache for low energy embedded systems. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF embedded systems, low power, Cache, microprocessor, configurable, memory hierarchy, low energy, architecture tuning
16Jung-Wook Park, Cheong-Ghil Kim, Jung-Hoon Lee, Shin-Dug Kim An energy efficient cache memory architecture for embedded systems. Search on Bibsonomy SAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF selective way access, skewed associativity, embedded system, memory hierarchy, low power cache
16Rui Min, Wen-Ben Jone, Yiming Hu Location cache: a low-power L2 cache system. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF L1/L2 caches, data location, power, TLB, set-associative caches
16Chuanjun Zhang, Frank Vahid, Walid A. Najjar A Highly-Configurable Cache Architecture for Embedded Systems. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF embedded systems, low power, Cache, microprocessor, configurable, low energy, architecture tuning
16Rui Min, Yiming Hu Improving Performance of Large Physically Indexed Caches by Decoupling Memory Addresses from Cache Addresses. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Novel memory architectures, cache, memory systems, TLB, performance enhancement
16Stephanie Coleman, Kathryn S. McKinley Tile Size Selection Using Cache Organization and Data Layout. Search on Bibsonomy PLDI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
16Richard E. Kessler, Richard Jooss, Alvin R. Lebeck, Mark D. Hill Inexpensive Implementations of Set-Associativity. Search on Bibsonomy ISCA The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
11Jan Staschulat, Rolf Ernst Worst case timing analysis of input dependent data cache behavior. Search on Bibsonomy ECRTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Edouard Bugnion, Jennifer-Ann M. Anderson, Todd C. Mowry, Mendel Rosenblum, Monica S. Lam Compiler-Directed Page Coloring for Multiprocessors. Search on Bibsonomy ASPLOS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
8Yu Liu 0037, Wei Zhang 0002 Exploiting stack distance to estimate worst-case data cache performance. Search on Bibsonomy SAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF stack distance, cache, timing analysis, worst-case execution time
8Andhi Janapsatya, Sri Parameswaran, Aleksandar Ignjatovic HitME: low power Hit MEmory buffer for embedded systems. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
8Samir Ammenouche, Sid Ahmed Ali Touati, William Jalby On Instruction-Level Method for Reducing Cache Penalties in Embedded VLIW Processors. Search on Bibsonomy HPCC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
8Jongmin Lee 0002, Soontae Kim An energy-delay efficient 2-level data cache architecture for embedded system. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF 2-level data cache, early cache hit predictor, one-way write
8Kevin Camera, Robert W. Brodersen An integrated debugging environment for FPGA computing platforms. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF simulation, FPGA, design, verification
8Zhenghong Wang, Ruby B. Lee A novel cache architecture with enhanced performance and security. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
8Chia-Hsiang Yang, Dejan Markovic A Flexible VLSI Architecture for Extracting Diversity and Spatial Multiplexing Gains in MIMO Channels. Search on Bibsonomy ICC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
8Kevin Camera, Robert W. Brodersen An integrated debugging environment for FPGA computing platforms. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
8Hyungmin Cho, Bernhard Egger 0002, Jaejin Lee, Heonshik Shin Dynamic data scratchpad memory management for a memory subsystem with an MMU. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF horizontally-partitioned memory, post-pass optimization, compilers, scratchpad memory, demand paging
8Rajiv A. Ravindran, Michael L. Chu, Scott A. Mahlke Compiler-managed partitioned data caches for low power. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF hardware/software co-managed cache, instruction-driven cache management, partitioned cache, low-power, embedded processor
8Fadia Nemer, Hugues Cassé, Pascal Sainrat, Ali Awada Improving the Worst-Case Execution Time Accuracy by Inter-Task Instruction Cache Analysis. Search on Bibsonomy SIES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
8Ju-Hyun Kim, Gyoung-Hwan Hyun, Hyuk-Jae Lee Cache Organizations for H.264/AVC Motion Compensation. Search on Bibsonomy RTCSA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
8Prasanna Palsodkar, Amol Y. Deshmukh, Preeti R. Bajaj, Avinash G. Keskar An Approach for Four Way Set Associative Multilevel CMOS Cache Memory. Search on Bibsonomy KES (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
8Kimish Patel, Luca Benini, Enrico Macii, Massimo Poncino Reducing Conflict Misses by Application-Specific Reconfigurable Indexing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Sumesh Udayakumaran, Angel Dominguez, Rajeev Barua Dynamic allocation for scratch-pad memory using compile-time decisions. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF embedded systems, compiler, Memory allocation, software caching, scratch pad, software-managed cache
8Hoon-Mo Yang, Gi-Ho Park, Shin-Dug Kim Low-Power Data Cache Architecture by Address Range Reconfiguration for Multimedia Applications. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF embedded system, low-power, multimedia application, cache architecture
8Samuel Rodríguez, Bruce L. Jacob Energy/power breakdown of pipelined nanometer caches (90nm/65nm/45nm/32nm). Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF nanometer design, pipelined caches, cache design
8Allan Hartstein, Viji Srinivasan, Thomas R. Puzak, Philip G. Emma Cache miss behavior: is it sqrt(2)? Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF performance, memory hierarchy, cache organization
8Jan Staschulat, Rolf Ernst Scalable precision cache analysis for preemptive scheduling. Search on Bibsonomy LCTES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF scheduling, embedded systems, cache, worst case execution time analysis
8Kenichi Yoshida, Fuminori Adachi, Takashi Washio, Hiroshi Motoda, Teruaki Homma, Akihiro Nakashima, Hiromitsu Fujikawa, Katsuyuki Yamazaki Memory Management of Density-Based Spam Detector. Search on Bibsonomy SAINT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Kaustubh Patil, Kiran Seth, Frank Mueller 0001 Compositional static instruction cache simulation. Search on Bibsonomy LCTES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF scheduling, real-time systems, caches, worst-case execution time
8Chuanjun Zhang, Frank Vahid Using a Victim Buffer in an Application-Specific Memory Hierarchy. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Chi F. Chen, Se-Hyun Yang, Babak Falsafi, Andreas Moshovos Accurate and Complexity-Effective Spatial Pattern Prediction. Search on Bibsonomy HPCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Kimish Patel, Enrico Macii, Luca Benini, Massimo Poncino Reducing cache misses by application-specific re-configurable indexing. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Christophe Guillon, Fabrice Rastello, Thierry Bidault, Florent Bouchez Procedure placement using temporal-ordering information: dealing with code size expansion. Search on Bibsonomy CASES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF min-matching, profiling, instruction cache, Hamiltonian-path, cache miss, code size, code placement
8Sriram Nadathur, Akhilesh Tyagi IPC Driven Dynamic Associative Cache Architecture for Low Energy. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Jung-Wook Park, Gi-Ho Park, Sung-Bae Park, Shin-Dug Kim Power-Aware Deterministic Block Allocation for Low-Power Way-Selective Cache Structure. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Cheol Hong Kim, Jong Wook Kwak, Seong Tae Jhang, Chu Shik Jhon Adaptive Block Management for Victim Cache by Exploiting L1 Cache History Information. Search on Bibsonomy EUC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Block Management, Low Power, Computer Architecture, Victim Cache
8John Y. Fong, Randy Acklin, John Roscher, Feng Li, Cindy Laird, Cezary Pietrzyk Nonvolatile Repair Caches Repair Embedded SRAM and New Nonvolatile Memories. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Pavel Tvrdík, Ivan Simecek Performance Optimization and Evaluation for Linear Codes. Search on Bibsonomy NAA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8A. P. Shanthi, P. Muruganandam, Ranjani Parthasarathi Enhancing the Development Based Evolution of Digital Circuits. Search on Bibsonomy Evolvable Hardware The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Rui Min, Zhiyong Xu, Yiming Hu, Wen-Ben Jone Partial Tag Comparison: A New Technology for Power-Efficient Set-Associative Cache Designs. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Kurt Mehlhorn, Peter Sanders 0001 Scanning Multiple Sequences Via Cache Memory. Search on Bibsonomy Algorithmica The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Jung-Hoon Lee, Gi-Ho Park, Shin-Dug Kim An Adaptive Multi-Module Cache with Hardware Prefetching Mechanism for Multimedia Applications. Search on Bibsonomy PDP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Maria Grigoriadou, Maria Toula, Evangelos Kanidis Design and Evaluation of a Cache Memory Simulation Program. Search on Bibsonomy ICALT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Pavel Tvrdík, Ivan Simecek Analytical Modeling of Optimized Sparse Linear Code. Search on Bibsonomy PPAM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Jung-Hoon Lee, Shin-Dug Kim, Charles C. Weems Application-adaptive intelligent cache memory system. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF dynamic block fetching and cache memory, general application, media application, Memory hierarchy, temporal locality, spatial locality
Displaying result #1 - #100 of 128 (100 per page; Change: )
Pages: [1][2][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license